【Xilinx】时序约束学习 TIMING-1: 时钟修改块上的时钟波形无效

devtools/2024/9/19 14:45:32/ 标签: 学习, fpga开发, 时序约束

        在 <cell_type> 输出 <pin_name> 上指定的时钟 <clock_name> 的时钟波形无效, 与时钟修改块 (CMB) 设置不匹配。该时钟波形为 <VALUE>。期望的波形为 <VALUE>。

描述

        Vivado Design Suite 会根据 CMB 设置和传入主时钟的特性, 在 CMB 输出上自动衍生时钟。如果用户在 CMB 输出上定义生成时钟, 那么 Vivado 不会在同一定义点(信号线或管脚) 上自动衍生生成时钟。 DRC 警告报告称用户定义的生成时钟与 Vivado 将自动创建的自动衍生时钟不匹配。这可能导致硬件故障, 因为设计的时序约束与器件上所发生的约束不匹配。

学习

CMB--时钟调整模块(Clock Modifying Blocks,CMB)

Vivado时序工具能够识别设计中时钟调整模块(Clock Modifying Blocks,CMB)及其输入主时钟的变更特性。自动为CMB输出的时钟信号创建约束,指定其相对源时钟的波形关系。

解决方案

        如果无需用户定义的生成时钟, 请移除约束并改为使用自动衍生时钟。如果需要约束, 请验证生成时钟约束与自动衍生时钟波形是否匹配, 或者修改 CMB 属性以与期望的时钟波形相匹配。如果要强制设置自动衍生时钟的名称, 建议使用仅定义 -name 选项的 create_generated_clock 约束以及定义该时钟的对象(通常为 CMB 的输出管脚) 的名称。请参阅《Vivado Design Suite 用户指南: 使用约束》 (UG903), 以了解有关创建生成时钟的信息以及自动衍生时钟重命名约束的限制。

示例

        在图中, 在 MMCM 实例管脚 CLKOUT0 上定义了 create_generated_clock 约束, 但此约束与 Vivado 从MMCM 属性设置生成的自动衍生波形不匹配。

        如需仅对自动衍生时钟进行重命名, 请在约束文件中的主时钟定义后使用以下约束:
create_generated_clock -name clkName [get_pins clk_gen_i0/clk_core_i0/inst/mmcme3_adv_inst/CLKOUT0]


http://www.ppmy.cn/devtools/6512.html

相关文章

Java的垃圾回收机制

Java垃圾回收机制是Java平台内存管理的重要组成部分&#xff0c;它负责自动回收不再使用的对象所占用的内存&#xff0c;从而防止内存泄漏和内存溢出。这一机制大大简化了Java程序员的内存管理任务&#xff0c;使程序员能够更专注于业务逻辑的实现。下面将详细解释Java垃圾回收…

利用大语言模型,矢量数据库实现数据库的智能搜索

目的 数据库使用SQL 语言查询数据&#xff0c;数据库的记录中要有一个关键字段&#xff08;通常称为主键字段&#xff0c;它的值在数据库列表中是唯一的&#xff09;,数据记录是结构化的. 如果你需要根据数据记录的内容来查询数据记录&#xff0c;就需要通过Select 语句在数据库…

无法连接到MongoDB Atlas 的Cloud Database

打开Mongodb网页: 选择允许任何地址连接 连接成功

负载均衡集群——HAProxy

目录 1 HAProxy介绍 2 功能简介 3 实验组网介绍 4 实验步骤 4.1 通过 HAProxy 实现简单负载均衡调度功能 步骤 1 安装 HAProxy 步骤 2 修改配置 HAProxy 文件 4.2 HAProxy 监控页面配置 步骤 1 修改 HAProxy 配置文件 步骤 2 查看监控页面 3.3 HAProxy 日志相关配置 …

什么是神经网络和机器学习?【云驻共创】

什么是神经网络和机器学习&#xff1f; 一.背景 在当今数字化浪潮中&#xff0c;神经网络和机器学习已成为科技领域的中流砥柱。它们作为人工智能的支柱&#xff0c;推动了自动化、智能化和数据驱动决策的进步。然而&#xff0c;对于初学者和专业人士来说&#xff0c;理解神经…

论文笔记:Are Human-generated Demonstrations Necessary for In-context Learning?

iclr 2024 reviewer 评分 6668 1 intro 大型语言模型&#xff08;LLMs&#xff09;已显示出在上下文中学习的能力 给定几个带注释的示例作为演示&#xff0c;LLMs 能够为新的测试输入生成输出然而&#xff0c;现行的上下文学习&#xff08;ICL&#xff09;范式仍存在以下明显…

微服务面试题

这里写目录标题 1.微服务是什么2.你知道哪些RPC架构3.SpringCloud和Dubbo有什么区别4.SpringCloud由什么组成5.Eureka包含几个组件6.Eureka的工作原理7.说一下什么是Eureka的自我保护机制8.什么是CAP原则9.都是服务注册中心&#xff0c;Eureka比Zookeeper好在哪里10.Ribbon的作…

请陪伴Kimi和GPT成长

经验的闪光汤圆 但是我想要写实的 你有吗&#xff1f; 岁数大了&#xff0c;希望如何学习新知识呢&#xff1f;又觉得自己哪些能力亟需补强呢&#xff1f; 看论文自然得用Kimi&#xff0c;主要是肝不动了&#xff0c;眼睛也顶不住了。 正好昨天跟专业人士学会了用工作流的办法跟…

EI Scopus双检索 | 2024年清洁能源与智能电网国际会议(CCESG 2024)

会议简介 Brief Introduction 2024年清洁能源与智能电网国际会议(CCESG 2024) 会议时间&#xff1a;2024年 11月27-29日 召开地点&#xff1a;澳大利亚悉尼 大会官网&#xff1a;CCESG 2024-2024 International Joint Conference on Clean Energy and Smart Grid 由CoreShare科…

Springboot项目中Controller层的单元测试

源码展示&#xff1a; 原来的controller类&#xff1a; import lombok.extern.slf4j.Slf4j; import org.springframework.stereotype.Service; import org.springframework.web.bind.annotation.*;RestController RequestMapping("/web") Slf4j Service public clas…

Taro-vue微信小程序用户隐私保护

Taro-vue微信小程序用户隐私保护 一、在 微信公众平台的【设置】- 【服务内容与声明】 &#xff0c;设置用户隐私保护指引&#xff0c;添加项目需要的接口权限。 【用户隐私保护指引】提交之后&#xff0c;官方会进行审核。审核通过之后&#xff0c;对应的接口权限才会生效。 …

js设置:root得样式

在CSS中&#xff0c;:root 是一个伪类选择器&#xff0c;它匹配文档树的根元素。在HTML文档中&#xff0c;根元素通常是 <html> 元素。:root 选择器常用于定义CSS变量&#xff08;也称为自定义属性&#xff09;&#xff0c;以便在整个文档范围内使用这些变量。 关于“水…

Automated CNN approach

图1有点简单 作者未提供代码

【MySQL】表的增删改查

目录 前言&#xff1a; 新增&#xff08;Create&#xff09;&#xff1a; 查询&#xff08;Retrieve&#xff09;&#xff1a; 别名&#xff1a; 去重&#xff1a;DISTINCT 排序&#xff1a;ORDER BY &#xff1a; 条件查询&#xff1a;WHERE &#xff1a; 分页查询&am…

acwing算法提高之图论--无向图的双连通分量

目录 1 介绍2 训练 1 介绍 本博客用来记录无向图的双连通分量的相关题目。 以下所有概念都是针对无向图而言的。 桥&#xff1a;本质是边&#xff0c;去掉它&#xff0c;图就不连通了。这样的边叫作桥。 边双连通分量&#xff1a;不包含桥的连通块&#xff0c;且边的数目最大…

速盾:cdn可以加速哪些服务器

CDN&#xff08;Content Delivery Network&#xff0c;内容分发网络&#xff09;是一种通过将网站的静态资源部署到全球各地的服务器上&#xff0c;以提供更快速、更可靠的访问体验的技术。CDN可以加速许多类型的服务器&#xff0c;包括但不限于以下几种&#xff1a; 静态资源服…

【考研高数】学习笔记分享

派大星说数学&#xff08;导学部分&#xff09; 关于做题 测试 答疑阶段 直播 群内 高中基础知识导学 一、数与式 述了课程学习和因式分解、分式拆解等知识点。学生应了解课程内容&#xff0c;带着疑问听课&#xff0c;不要抄笔记&#xff0c;导学课和基础课都有测验&…

Java,Python和Go语言语法差异对比

前段时间一直在找工作&#xff0c;比较颓废&#xff0c;很长时间都没有更新博客了&#xff0c;最近公司的项目需要用到Python语言和Go语言&#xff0c; 所以又重新学习了一下Python语言和Go语言&#xff0c;现在做一些总结&#xff0c;方便以后复习使用&#xff0c;同时也给其他…

web大型工程项目架构以及搭建

一、项目结构 ├── public/ ├── config/ │ └── proxy.js # 本地代理配置 ├── src/ │ ├── assets/ │ ├── components/ │ ├── configs/ │ │ ├── index.js # 应用配置 │ │ ├…

什么是0-day漏洞,怎么防护0-day漏洞攻击

随着信息技术的快速发展&#xff0c;网络安全问题日益凸显&#xff0c;其中0day漏洞攻击作为一种高级威胁手段&#xff0c;给企业和个人用户带来了极大的风险。下面德迅云安全就对0day漏洞攻击进行简单讲解下&#xff0c;并分享相应的一些安全措施&#xff0c;以期提高网络安全…