【IC设计】奇数分频与偶数分频 电路设计(含讲解、RTL代码、Testbench代码)

server/2024/9/23 5:20:58/

文章目录

  • 原理分析
  • 实现和仿真
    • 偶数分频的电路RTL代码
    • 偶数分频的电路Testbench代码
    • 偶数分频的电路仿真波形
    • 占空比为50%的三分频电路RTL代码
    • 占空比为50%的三分频电路Testbench代码
    • 占空比为50%的三分频电路仿真波形
  • 参考资料

原理分析

分频电路是将给定clk时钟信号频率降低为div_clk的电路,假设原时钟的频率为M Hz,分频后的时钟为N Hz,那么就称该分频电路为M/N分频。

如果M/N是奇数,实现该功能的电路就是奇数分频电路;
如果M/N是偶数,实现该功能的电路就是偶数分频电路。

频率和周期的对应关系:
由于频率f=1/T,因此二分频电路即M/N=(1/T1)/(1/T2) = T2/T1 = 2 ,即一个二分频后的时钟周期是原时钟周期的两倍。
同理,一个N分频后的时钟周期是原时钟的M/N倍。

偶数分频举例:
以两分频电路为例,由于周期为原先的两倍,那么只需要在clk每个上升沿到来时,div_clk翻转,就可以了。
以四分频电路为例,由于周期为原先的四倍,那么需要在clk每两个周期div_clk翻转一次。需要使用计数器来数clk过了几个周期。
同理,一个K分频电路,K为偶数,那么由于周期为原先的K倍,那么需要在clk每K/2个周期div_clk翻转一次

奇数分频举例:
以三分频电路为例,周期为原先的三倍。
如果对占空比(高电平占整个周期的比例)没有要求,我们可以令out_clk在clk的一个周期为高,两个周期为低,如此反复。

如果占空比为一半,那么就是每1.5个周期翻转一次,无法通过检测上升和下降沿来翻转,那么应该怎么做?
如图所示,我们的目标是每1.5个周期翻转一次,那么可以这样做,得到一个占空比为50%的奇数分频。

  1. 构造out_clk1和out_clk2信号,高电平都是1个周期,低电平都是2个周期,两个信号的区别是相差半个周期的相位。
  2. assign out_clk = out_clk1 | out_clk2
  3. out_clk就是分频的结果
    在这里插入图片描述
    同理,任意奇数分频都可以用类似的思路实现。因为3 5 7 9 11…总是可以分解成3=1+2,5=2+3,7=3+4,9=4+5…

实现和仿真

偶数分频的电路RTL代码

`timescale 1ns / 1psmodule divide_even
(rst_n,clk,div_clk,
);input rst_n;input clk;output reg div_clk;//位宽一般用系统函数来确定reg [5:0] cnt;parameter DIVIDE_NUM = 4;always@(posedge clk or negedge rst_n) beginif( ~rst_n ) begincnt <= 0;div_clk <= 0;end else if( cnt == DIVIDE_NUM / 2 - 1 ) begindiv_clk <= ~div_clk;cnt <= 0;end else begincnt <= cnt + 1;endend
endmodule

偶数分频的电路Testbench代码

`timescale 1ns / 1psmodule tb_divide_even();reg clk;reg rst_n;wire div_clk;initial beginclk = 0;rst_n = 0;#48;rst_n = 1;#203;        $stop;end    divide_even divide_even_u0(.clk(clk),.rst_n(rst_n),.div_clk(div_clk)    );always #5 clk = ~clk;
endmodule

偶数分频的电路仿真波形

在这里插入图片描述

占空比为50%的三分频电路RTL代码

`timescale 1ns / 1psmodule divide_3(clk,rst_n,div_clk
);input clk;input rst_n;output div_clk;reg out_clk1;reg out_clk2;reg [1:0] cnt1;reg [1:0] cnt2;//第一个always负责out_clk1的值always@(posedge clk or negedge rst_n) beginif(~rst_n) begincnt1     <= 2'b0;out_clk1 <= 0;endelse if(out_clk1 == 1) begin             cnt1 <= 2'b0;out_clk1 <= ~out_clk1;      end else if(out_clk1 == 0) beginif(cnt1 == 1) begincnt1 <= 2'b0;out_clk1 <= ~out_clk1;end else begincnt1 <= cnt1 + 1;endendend//第二个always负责out_clk2的值always@(negedge clk or negedge rst_n) beginif(~rst_n) begincnt2     <= 2'b0;out_clk2 <= 0;endelse if(out_clk2 == 1) begin cnt2 <= 2'b0;out_clk2 <= ~out_clk2;end else if(out_clk2 == 0) beginif(cnt2 == 1) begincnt2 <= 2'b0;out_clk2 <= ~out_clk2;end else begincnt2 <= cnt2 + 1;endendendassign div_clk = out_clk1 | out_clk2;endmodule

占空比为50%的三分频电路Testbench代码

`timescale 1ns / 1psmodule tb_divide_3();reg clk,rst_n;wire div_clk;initial beginrst_n = 0;clk = 0;#48;rst_n = 1;#202;$stop;enddivide_3 divide_3_u0(.clk(clk),.rst_n(rst_n),.div_clk(div_clk));//10ns一个周期,100MHzalways #5 clk = ~clk;endmodule

占空比为50%的三分频电路仿真波形

在这里插入图片描述

参考资料

  1. 正点原子逻辑设计指南
  2. B站 FPGA探索者 牛客Verilog刷题 奇数分频

http://www.ppmy.cn/server/20007.html

相关文章

BGP的基本配置

l 按照以下步骤配置BGP协议&#xff1a; 第1步&#xff1a;设备基本参数配置&#xff0c;AS内配置IGP确保内部网络连通性&#xff1b; l 配置IGP&#xff08;OSPF协议等&#xff09;路由解决peer对等体的源和目标IP之间连通性&#xff0c;确保peer之间TCP&#xff08;179&a…

【Hadoop】-Apache Hive使用语法与概念原理[15]

一、数据库操作 创建数据库 create database if not exists myhive; 使用数据库 use myhive; 查看数据库详细信息 desc database myhive; 数据库本质上就是在HDFS之上的文件夹。 默认数据库的存放路径是HDFS的&#xff1a;/user/hive/warehouse内 创建数据库并指定hdfs…

Tiny11作者开源:利用微软官方镜像制作独属于你的Tiny11镜像

微软对Windows 11的最低硬件要求包括至少4GB的内存、双核处理器和64GB的SSD存储。然而&#xff0c;这些基本要求仅仅能保证用户启动和运行系统&#xff0c;而非流畅使用 为了提升体验&#xff0c;不少用户选择通过精简系统来减轻硬件负担&#xff0c;我们熟知的Tiny11便是其中…

机器视觉检测技术是什么?突出的亮点有哪些?

机器视觉检测技术是一种利用图像处理软件分析处理相机捕捉到的图像&#xff0c;以替代人眼在制造和测试过程中进行检测和测量的技术。它广泛应用于工业自动化领域&#xff0c;可以提高生产效率和质量控制的精度。机器视觉系统通常包括光源、相机、图像处理单元和执行机构等组成…

Eagle for Mac:强大的图片管理工具

Eagle for Mac是一款专为Mac用户设计的图片管理工具&#xff0c;旨在帮助用户更高效、有序地管理和查找图片资源。 Eagle for Mac v1.9.2中文版下载 Eagle支持多种图片格式&#xff0c;包括JPG、PNG、GIF、SVG、PSD、AI等&#xff0c;无论是矢量图还是位图&#xff0c;都能以清…

SpringCloud整合Ribbon负载均衡器

目录 一、模块一&#xff1a;提供数据 1.1 首先将第一个实例打包 1.2 使用命令行设置不同权重 1.3 打开图形化界面看看权重是否配置成功。 二、模块二&#xff1a;调用模块一 三、修改默认负载均衡策略 四、自定义规则 ​编辑 五、完整代码 5.1 目录结构 5.2 配置文件 …

uniapp步骤条 组件

//子组件 <template><view class"container-step"><view class"uni-padding-wrap"><view class"progress-box"><progress :percent"3" active"true" stroke-width"2" /></vie…

JVM的运行时数据区

之前的文章有讲过&#xff0c;Java 源代码文件经过编译器编译后会生成字节码文件&#xff0c;并且经过类加载器加载之后会交给执行引擎执行&#xff0c;并在执行过程中&#xff0c;Java会划出一片空间去存储执行期间所用到的数据&#xff0c;这片空间成为运行时数据区。 根据 …