Vivado 编译固件后时序报告查询

news/2024/9/18 15:11:16/ 标签: 服务器, linux, 运维

随笔记录

目录

1. 背景介绍

2. 查看时序

2.1 Vivado tcl 模式编译固件,查看时序报告

2.1.1 时序报告路径

2.1.2  查看时序报告内容

 2.2 Vivado GUI 模式编译固件,查看时序


1. 背景介绍

硬件源码,需要编译固件生成 bit/bin 文件后,检查时序

2. 查看时序

2.1 Vivado tcl 模式编译固件,查看时序报告

2.1.1 时序报告路径

# 查看时序报告所在路径,即编译生成的 bin/bit 文件同级目录中[root@bogon impl_1]#
[root@bogon impl_1]# pwd
/magx/version/DDR/DDR-V1.0.8.0/ndpp_ddr_demo/firmware/x1100_prj/prj/project_1/project_1.runs/impl_1
[root@bogon impl_1]#
[root@bogon impl_1]#[root@bogon impl_1]#
[root@bogon impl_1]# ll
total 281700
-rw-r--r--. 1 root root    12917 Aug 25 00:35 gen_run.xml
-rw-r--r--. 1 root root      394 Aug 25 00:17 htr.txt
-rw-r--r--. 1 root root    27412 Aug 25 00:22 init_design.pb
-rwxr-xr-x. 1 root root     8376 Aug 25 00:17 ISEWrap.js
-rwxr-xr-x. 1 root root     2110 Aug 25 00:17 ISEWrap.sh
-rw-r--r--. 1 root root    80373 Aug 25 00:26 opt_design.pb
-rw-r--r--. 1 root root    11709 Aug 25 00:31 phys_opt_design.pb
-rw-r--r--. 1 root root   565510 Aug 25 00:31 place_design.pb
-rw-r--r--. 1 root root     6947 Aug 25 00:17 project.wdf
-rw-r--r--. 1 root root    30051 Aug 25 00:35 route_design.pb
-rw-r--r--. 1 root root     1422 Aug 25 00:17 rundef.js
-rw-r--r--. 1 root root      257 Aug 25 00:17 runme.bat
-rw-r--r--. 1 root root   109747 Aug 25 00:35 runme.log
-rwxr--r--. 1 root root     1003 Aug 25 00:17 runme.sh
-rw-r--r--. 1 root root      991 Aug 25 00:21 vivado.jou
-rw-r--r--. 1 root root      149 Aug 25 00:36 vivado.pb
-rw-r--r--. 1 root root       36 Aug 25 00:35 yusur_ndpp_x1100_bus_skew_routed.pb
-rw-r--r--. 1 root root   367733 Aug 25 00:35 yusur_ndpp_x1100_bus_skew_routed.rpt
-rw-r--r--. 1 root root   649950 Aug 25 00:35 yusur_ndpp_x1100_bus_skew_routed.rpx
-rw-r--r--. 1 root root   386929 Aug 25 00:35 yusur_ndpp_x1100_clock_utilization_routed.rpt
-rw-r--r--. 1 root root  3507458 Aug 25 00:31 yusur_ndpp_x1100_control_sets_placed.rpt
-rw-r--r--. 1 root root       37 Aug 25 00:26 yusur_ndpp_x1100_drc_opted.pb
-rw-r--r--. 1 root root    46039 Aug 25 00:26 yusur_ndpp_x1100_drc_opted.rpt
-rw-r--r--. 1 root root    85986 Aug 25 00:26 yusur_ndpp_x1100_drc_opted.rpx
-rw-r--r--. 1 root root       76 Aug 25 00:35 yusur_ndpp_x1100_drc_routed.pb
-rw-r--r--. 1 root root    56560 Aug 25 00:35 yusur_ndpp_x1100_drc_routed.rpt
-rw-r--r--. 1 root root   111880 Aug 25 00:35 yusur_ndpp_x1100_drc_routed.rpx
-rw-r--r--. 1 root root   542732 Aug 25 00:31 yusur_ndpp_x1100_io_placed.rpt
-rw-r--r--. 1 root root       52 Aug 25 00:35 yusur_ndpp_x1100_methodology_drc_routed.pb
-rw-r--r--. 1 root root    74192 Aug 25 00:35 yusur_ndpp_x1100_methodology_drc_routed.rpt
-rw-r--r--. 1 root root   241246 Aug 25 00:35 yusur_ndpp_x1100_methodology_drc_routed.rpx
-rw-r--r--. 1 root root 40421954 Aug 25 00:25 yusur_ndpp_x1100_opt.dcp
-rw-r--r--. 1 root root 55952821 Aug 25 00:31 yusur_ndpp_x1100_physopt.dcp
-rw-r--r--. 1 root root 55928105 Aug 25 00:31 yusur_ndpp_x1100_placed.dcp
-rw-r--r--. 1 root root    71619 Aug 25 00:35 yusur_ndpp_x1100_power_routed.rpt
-rw-r--r--. 1 root root 16429197 Aug 25 00:35 yusur_ndpp_x1100_power_routed.rpx
-rw-r--r--. 1 root root      723 Aug 25 00:35 yusur_ndpp_x1100_power_summary_routed.pb
-rw-r--r--. 1 root root 67754154 Aug 25 00:35 yusur_ndpp_x1100_routed.dcp
-rw-r--r--. 1 root root       45 Aug 25 00:35 yusur_ndpp_x1100_route_status.pb
-rw-r--r--. 1 root root      651 Aug 25 00:35 yusur_ndpp_x1100_route_status.rpt
-rw-r--r--. 1 root root    13475 Aug 25 00:17 yusur_ndpp_x1100.tcl
-rw-r--r--. 1 root root      112 Aug 25 00:35 yusur_ndpp_x1100_timing_summary_routed.pb# 时序报告:yusur_ndpp_x1100_timing_summary_routed.rpt 
-rw-r--r--. 1 root root 22239996 Aug 25 00:35 yusur_ndpp_x1100_timing_summary_routed.rpt       
-rw-r--r--. 1 root root 22473307 Aug 25 00:35 yusur_ndpp_x1100_timing_summary_routed.rpx
-rw-r--r--. 1 root root      300 Aug 25 00:31 yusur_ndpp_x1100_utilization_placed.pb
-rw-r--r--. 1 root root    16105 Aug 25 00:31 yusur_ndpp_x1100_utilization_placed.rpt
-rw-r--r--. 1 root root   110334 Aug 25 00:35 yusur_ndpp_x1100.vdi
[root@bogon impl_1]#

2.1.2  查看时序报告内容

检查点:时序报告中 4个值必须全部为非负值。

  1. WNS(ns)
  2. TNS(ns)
  3. WHS(ns)
  4. THS(ns)
# 检查时序报告中 4个值必须全部为非负值。WNS(ns)
TNS(ns)
WHS(ns)
THS(ns)[root@bogon impl_1]#
[root@bogon impl_1]#
[root@bogon impl_1]# cat yusur_ndpp_x1100_timing_summary_routed.rpt|more
Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
-----
| Tool Version      : Vivado v.2022.2 (lin64) Build 3671981 Fri Oct 14 04:59:54 MDT 2022
| Date              : Sun Aug 25 00:35:47 2024
| Host              : localhost running 64-bit CentOS Stream release 8
| Command           : report_timing_summary -max_paths 10 -report_unconstrained -file yusur_ndpp_x1100_timing_summary_routed.rpt -pb yusur_ndpp_x1100_timing_summary_routed.pb -rpx yusur_ndpp_x1100_timing_summary_routed.rpx -warn_on_viola
tion
| Design            : yusur_ndpp_x1100
| Device            : xcku15p_CIV-ffve1517
| Speed File        : -2  PRODUCTION 1.29 05-01-2022
| Temperature Grade : E
---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
-----Timing Summary Report------------------------------------------------------------------------------------------------
| Timer Settings
| --------------
------------------------------------------------------------------------------------------------Enable Multi Corner Analysis               :  YesEnable Pessimism Removal                   :  YesPessimism Removal Resolution               :  Nearest Common NodeEnable Input Delay Default Clock           :  NoEnable Preset / Clear Arcs                 :  NoDisable Flight Delays                      :  NoIgnore I/O Paths                           :  NoTiming Early Launch at Borrowing Latches   :  NoBorrow Time for Max Delay Exceptions       :  YesMerge Timing Exceptions                    :  YesCorner  Analyze    AnalyzeName    Max Paths  Min Paths------  ---------  ---------Slow    Yes        YesFast    Yes        Yes------------------------------------------------------------------------------------------------
| Report Methodology
| ------------------
------------------------------------------------------------------------------------------------Rule       Severity  Description                               Violations
---------  --------  ----------------------------------------  ----------
HPDR-1     Warning   Port pin direction inconsistency          12
LUTAR-1    Warning   LUT drives async reset alert              33
TIMING-9   Warning   Unknown CDC Logic                         1
TIMING-10  Warning   Missing property on synchronizer          1
XDCH-2     Warning   Same min and max delay values on IO port  1Note: This report is based on the most recent report_methodology run and may not be up-to-date. Run report_methodology on the current design for the latest report.check_timing reportTable of Contents
-----------------
1. checking no_clock (0)
2. checking constant_clock (0)
3. checking pulse_width_clock (0)
4. checking unconstrained_internal_endpoints (0)
5. checking no_input_delay (12)......
......
......
......12. checking latch_loops (0)
----------------------------There are 0 combinational latch loops in the design through latch input------------------------------------------------------------------------------------------------
| Design Timing Summary
| ---------------------
------------------------------------------------------------------------------------------------WNS(ns)      TNS(ns)  TNS Failing Endpoints  TNS Total Endpoints      WHS(ns)      THS(ns)  THS Failing Endpoints  THS Total Endpoints     WPWS(ns)     TPWS(ns)  TPWS Failing Endpoints  TPWS Total Endpoints-------      -------  ---------------------  -------------------      -------      -------  ---------------------  -------------------     --------     --------  ----------------------  --------------------0.097        0.000                      0               179559        0.010        0.000                      0               178757        0.000        0.000                       0                 92490All user specified timing constraints are met.------------------------------------------------------------------------------------------------
| Clock Summary
| -------------
------------------------------------------------------------------------------------------------ClockWaveform(n
s)           Period(ns)      Frequency(MHz)
---------------
--           ----------      --------------
c0_sys_clk_p{0.000 4.9
98}          9.996           100.040mmcm_clkout0{0.000 1.6
66}          3.332           300.120pll_clk[0]66}          3.332           300.120

以上截图 WNS(ns) TNS(ns) 负值,时序异常,需要定位原因。

 2.2 Vivado GUI 模式编译固件,查看时序

可以直接查看Vivado 图形界面查看即可。

到此Vivado 编译固件,时序检查已完成。


http://www.ppmy.cn/news/1516926.html

相关文章

Python3.11使用labelimg

标注工具labelImg的作者转战label-studio,并把它归档不再继续开发了,所以新版Python是不被支持的。 使用Python3.11通过pip的方式安装labelImg后,使用时会报xxx的错误,可以通过如下方式解决: pip uninstall labelimg…

配电房挂轨机器人巡检系统的主要优点包括

背景 配电房是724h工作的封闭环境,人工巡检无法在时间上和空间上对配电室进行全量监控。有限的巡检时间,必然带来设备运转的黑盒时间,设备故障和隐患无法及时监控与消缺。因而不可避免存在漏检、误检的情况,不仅容易隐藏电力系统…

pikachu-ssrf_redis

目录 SSRF 1、SSRF漏洞介绍: 2、SSRF漏洞原理: 3、SSRF漏洞利用手段: 4、SSRF漏洞绕过方法: SSRF(curl)用法 1、通过网址访问链接 2、利用file协议查看本地文件 3、dict协议扫描内网主机开放端口 4.gopher:威…

信息安全——密码学基础

密码学主要由密码编码密码分析俩个部分组成 密码编码学:研究信息的交换处以实现信息的安全保护 密码分析学:研究密文获取对应的明文信息 《中华人民共和国密码法》 2020年1月1日起实施。2005年4月1日起国家施行《中华人民共和国电子签名法》 密码…

基于微信小程序的电动车租赁系统---附源码97332

摘 要 本文旨在介绍基于Spring Boot框架的电动车租赁系统在微信小程序平台上的设计与实现。随着城市出行需求的不断增长和绿色出行意识的提升,电动车租赁系统作为一种便捷、环保的出行方式逐渐受到关注。通过本系统,用户可以通过微信小程序实现用户导航…

Kafka·Producer

Producer发送原理 拦截器进行拦截 对key和value进行序列化 org.apache.kafka.clients.producer.KafkaProducer#doSend 分区选择 计算消息要发送到topic的哪个分区上 若指定了分区,则使用指定的值没有指定的话则使用分区器计算得到或者使用hash取余的方式 暂存…

window 安装 anaconda教程(含安装包)

在Windows系统中安装Anaconda的步骤可以概括如下: 下载Anaconda: 访问Anaconda的官方网站或使用国内的镜像站点下载适合Windows的安装程序。例如,清华大学开源镜像网站提供了快速下载选项 。免费下载地址 启动安装程序: 双击下载…

Python-进阶-Excel基本操作

文章目录 Excel 基本操作1. 概述2. 写入2.1 使用 xlwt2.2 使用 XlsxWriter 3. 读取4. 修改 Excel 基本操作 1. 概述 在数据处理方面,Python 一直扮演着重要的角色,对于 Excel 操作,它有着完整且成熟的第三方库,使用也较为简单。…

STM32 HAL SDADC DMA

1、简介 由于项目需要使用STM32F373单片机的SDADC功能对电位计进行检测,网上资料比较少,踩了很多坑,下面进行总结。 2、STM32CubeMX配置 2.1 RCC配置 2.2 SYS 配置 2.3 SDADC 2 配置 2.3.1 Parameter Settings配置 SDADC共有三种输入模式,分别为差分模式、 单端偏移模…

网络协议的基础知识

了解OSI模型和TCP/IP模型 在上一篇关于互联网的工作原理的数据传输中,我们了解到,两台计算机之间传输数据时,需要将数据封装成数据包。这些数据包中不仅包含我们实际要传输的信息,还包括很多额外的内容,比如目标地址、…

川崎机器人维修请开启马达电源报警故障

‌川崎机器人故障代码主要用于指示机器人的不同运行问题和状态,帮助快速识别和解决这些问题。‌Kasawaki机械手故障代码通常以字母和数字的组合形式出现,其中字母代表故障的类型,而数字则是具体的代码编号。这些代码可以分为‌P‌代表操作错误…

【网络安全】服务基础第一阶段——第三节:Windows系统管理基础----服务器远程管理与安全权限

服务器远程管理是一种技术,服务器远程管理是指通过远程连接来监控、配置和维护服务器的过程,而无需直接在物理服务器前操作。它允许IT管理员在不同的地点对服务器进行配置、监控和维护,无需物理上接触到服务器本身。这种能力对于管理分布在多…

android关于binder的简单通信过程

文章目录 简述aidl文件服务端的实现客户端的实现验证过程 简述 主要实现的是两个应用之间跨进程通信的过程,client端调用server端的具体实现,然后server端给client回调数据,详细如下所示 aidl文件 以下的文件需要在服务端与客户端都配置一…

Python | Linux | 解析Himawari-8/9 | Standard Data

写作前面 之前一个相关的工作需要解析Himawari-8/9 Standard Data文件,因为他是二进制的,之前没有处理过,导致完全摸不着头脑。在网上找了中英文搜索找了好久,虽然也找到了公开的解析代码,但是放在自己的数据这感觉总是…

Vue中使用el-table自定义序号翻页后又从1开始没有连续

在 ​​el-table​​​ 中,自定义序号列在翻页后会重新从 1 开始是因为每页的数据是重新渲染的,没有保留之前的序号。如果您希望在翻页后保持连续的序号,可以使用 ​​index​​ 属性来获取全局的行索引。 以下是一个示例,演示如…

如何在UE5.4中重新定位动画?

动画在游戏和电影制作中扮演着至关重要的角色,而在虚幻引擎5.4(UE5.4)这一强大的实时3D创作平台中,重新定位动画的能力更是将创意表达推向了新的高度。本文将引导您探索UE5.4中重新定位动画的技巧,确保您的动画作品不仅…

error on “nvm list available“, find the final solution by the Second error

error one Could not retrieve https://nodejs.org/dist/index.json. Get “https://nodejs.org/dist/index.json”: dial tcp 104.20.23.46:443: i/o timeout error two Error retrieving “http://npm.taobao.org/mirrors/node/index.json”: HTTP Status 404 PS D:\code…

基于Python的机器学习系列(13):Bagging

在上一篇文章中,我们讨论了决策树的原理及其在分类任务中的应用。然而,单一的决策树模型往往容易过拟合,导致泛化能力较差。为了减小模型的方差,我们可以通过构建多个决策树来提升模型的表现。这种方法被称为Bagging(B…

OPTICS算法原理及Python实践

OPTICS(Ordering Points To Identify the Clustering Structure)算法是一种基于密度的聚类算法,它的核心思想是通过计算数据点之间的密度关系,自动发现数据中的层次结构,而无需预先设定簇的数量。以下是OPTICS算法原理…

OpenCV入门12.2:SURF与SIFT比较及SURF示例

SIFT (Scale-Invariant Feature Transform): 提出时间: 1999年,由David Lowe提出。关键特点: 能够检测和描述图像中的关键点,这些关键点对旋转、缩放和部分亮度变化具有不变性。计算复杂度: 相对较高,因为SIFT使用了高斯差分核来检测关键点&…