PrimeTime

2024/9/18 12:29:46

芯片后端之 PT 使用 report_timing 产生报告 之 -nets 选项

今天,我们再学习一点点 后仿真相关技能。 那就是,了解 report_timing 中的 -nets 选项 。 如果我们仅仅使用如下命令,执行后会发现: pt_shell> report_timing -from start_point -to end_point -delay_type max report_ti…

芯片后端之 PT 使用 report_timing 产生报告 之 -nets 选项

今天,我们再学习一点点 后仿真相关技能。 那就是,了解 report_timing 中的 -nets 选项 。 如果我们仅仅使用如下命令,执行后会发现: pt_shell> report_timing -from FF1/CK -to FF2/d -delay_type max 我们使用命令 report_timing 报出的如上路径延时信息,仅仅显示…

芯片后端之 PT 使用 report_timing 产生报告 之 常用命令

滴水穿石&#xff0c;坚持加持~ pt_shell> report_timing -from <startpoint> -to <endponit> -delay max/min pt_shell> report_timing -from <startpoint> -to <endponit> -delay max/min -nosplit pt_shell> get_cells *data_reg[0]* …

芯片后端之 PT 使用 report_timing 产生报告 之 常用命令

滴水穿石&#xff0c;坚持加持~ pt_shell> report_timing -from <startpoint> -to <endponit> -delay max/min pt_shell> report_timing -from <startpoint> -to <endponit> -delay max/min -nosplit pt_shell> get_cells *data_reg[0]* …

芯片后端之 PT 使用 report_timing 产生报告 之 常用命令

滴水穿石&#xff0c;坚持加持~ pt_shell> report_timing -from <startpoint> -to <endponit> -delay max/min pt_shell> report_timing -from <startpoint> -to <endponit> -delay max/min -nosplit pt_shell> get_cells *data_reg[0]* …

芯片后端之 PT 使用 report_timing 产生报告 之 -nets 选项

今天,我们再学习一点点 后仿真相关技能。 那就是,了解 report_timing 中的 -nets 选项 。 如果我们仅仅使用如下命令,执行后会发现: pt_shell> report_timing -from FF1/CK -to FF2/d -delay_type max 我们使用命令 report_timing 报出的如上路径延时信息,仅仅显示…

芯片后端之 PT 使用 report_timing 产生报告 之 -include_hierarchical_pins 选项

今天,我们再学习一点点 后仿真相关技能。 那就是,了解 report_timing 中的 -include_hierarchical_pins 选项。 如果我们仅仅使用如下命令,执行后会发现: pt_shell> report_timing -from FF1/CK -to FF2/d -delay_type max 我们使用命令 report_timing 报出的如上路…

芯片后端之 PT 使用 report_timing 产生报告 之 -input_pins 选项

今天,我们再学习一点点 后仿真相关技能。 那就是,了解 report_timing 中的 -include_hierarchical_pins 选项。 如果我们仅仅使用如下命令,执行后会发现: pt_shell> report_timing -from FF1/CK -to FF2/d -delay_type max -include_hierarchical_pins 我们使用命…