verilog学习笔记- 10)按键控制 LED 灯实验

news/2024/10/17 18:05:16/

目录

简介:

实验任务:

硬件设计:

程序设计:

下载验证 :

总结与反思:


简介:

按键开关是一种电子开关,属于电子元器件类。我们的开发板上有两种按键开关:第一种是本实验所使用的轻触式按键开关,使用时以向开关的操作方向施加压力使内部电路闭合接通,当撤销压力时开关断开,其内部结构是靠金属弹片受力后发生形变来实现通断的

第二种是自锁按键,自锁按键第一次按下后保持接通,即自锁,第二次按下后,开关断开,同时开关按钮弹出来,开发板上的电源键就是这种开关


实验任务:

使用新起点开发板上的四个按键控制四个 LED 灯。不同按键按下时,四个 LED 灯显示不同效果。


硬件设计:

如上图所示,开发板上的 5 个按键未按下时,输出高电平,按下后,输出低电平。

本实验中,系统时钟、复位按键、按键和 LED 灯的管脚如下表所示。

对应的 TCL 约束文件如下:

set_location_assignment PIN_M2 -to sys_clk
set_location_assignment PIN_M1 -to sys_rst_n
set_location_assignment PIN_E16 -to key[0]
set_location_assignment PIN_E15 -to key[1]
set_location_assignment PIN_M15 -to key[2]
set_location_assignment PIN_M16 -to key[3]
set_location_assignment PIN_D11 -to led[0]
set_location_assignment PIN_C11 -to led[1]
set_location_assignment PIN_E10 -to led[2]
set_location_assignment PIN_F9 -to led[3]

程序设计:

我们程序设计最终实现的效果为:无按键按下时,LED 灯全灭;按键 1 按下时,LED 灯显示自右向左的流水效果;按键 2 按下时,LED 灯显示自左向右的流水效果;按键 3 按下时,四个 LED 灯同时闪烁;按键 4 按下时,LED 灯全亮。

LED 在流水效果和闪烁效果在时间间隔均为 0.2 秒,因此需要在程序中定义一个 0.2s 的计数器,即每隔 0.2s,状态计数器加一。根据当前按键的状态选择不同的显示模式,不同的显示模式下四个 led 灯的亮灭随状态计数器的值改变,从而呈现出不同的显示效果。

 按键控制 led 模块的代码如下所示:

1 module key_led(
2 input sys_clk , //50Mhz 系统时钟
3 input sys_rst_n, //系统复位,低有效
4 input [3:0] key, //按键输入信号
5 output reg [3:0] led //LED 输出信号
6 );
7 
8 //reg define 
9 reg [23:0] cnt;
10 reg [1:0] led_control;
11 
12 //用于计数 0.2s 的计数器
13 always @ (posedge sys_clk or negedge sys_rst_n) begin
14 if(!sys_rst_n)
15 cnt<=24'd9_999_999;
16 else if(cnt<24'd9_999_999)
17 cnt<=cnt+1;
18 else
19 cnt<=0;
20 end
21 
22 //用于 led 灯状态的选择
23 always @(posedge sys_clk or negedge sys_rst_n) begin
24 if (!sys_rst_n)
25 led_control <= 2'b00;
26 else if(cnt == 24'd9_999_999)
27 led_control <= led_control + 1'b1;
28 else
29 led_control <= led_control;
30 end
31 
32 //识别按键,切换显示模式
33 always @(posedge sys_clk or negedge sys_rst_n) begin
34 if(!sys_rst_n) begin
35 led<=4'b 0000;
36 end
37 else if(key[0]== 0) //按键 1 按下时,从右向左的流水灯效果
38 case (led_control)
39 2'b00 : led<=4'b1000;
40 2'b01 : led<=4'b0100;
41 2'b10 : led<=4'b0010;
42 2'b11 : led<=4'b0001;
43 default : led<=4'b0000;
44 endcase
45 else if (key[1]==0) //按键 2 按下时,从左向右的流水灯效果
46 case (led_control)
47 2'b00 : led<=4'b0001;
48 2'b01 : led<=4'b0010;
49 2'b10 : led<=4'b0100;
50 2'b11 : led<=4'b1000;
51 default : led<=4'b0000;
52 endcase
53 else if (key[2]==0) //按键 3 按下时,LED 闪烁
54 case (led_control)
55 2'b00 : led<=4'b1111;
56 2'b01 : led<=4'b0000;
57 2'b10 : led<=4'b1111;
58 2'b11 : led<=4'b0000;
59 default : led<=4'b0000;
60 endcase
61 else if (key[3]==0) //按键 4 按下时,LED 全亮
62 led=4'b1111;
63 else
64 led<=4'b0000; //无按键按下时,LED 熄灭 
65 end
66 
67 endmodule

该代码分为三个模块:

第 12 至 20 行对系统时钟计数,当计数时间达 0.2s 时,计数器清零,是一个产生0.2s模块

第 22 至 30 行对LED灯的状态四个状态(00,01,10,11)内依次变化

第 32 至 64 行对每种情况下LED的闪烁模式进行了四种不同的定义,并且按下按键切换模式

Testbench 模块代码如下:

1 `timescale 1 ns/ 1 ns
2 module tb_key_led();
3 
4 parameter T = 20;
5 
6 reg [3:0] key ;
7 reg sys_clk ;
8 reg sys_rst_n;
9 
10 wire [3:0] led;
11 
12 initial begin 
13 key <=4'b1111;//按键初始状态为全断开
14 sys_clk <=1'b0; //初始时钟为低电平
15 sys_rst_n <=1'b0; //复位信号初始为低电平
16 #T sys_rst_n <=1'b1; //一个时钟周期后复位信号拉高
17 
18 #600_000_020 key[0] <=0; //0.6s 时按下按键 1
19 #800_000_000 key[0] <=1; 
20 key[1] <=0; //0.8s 后松开按键 1,按下按键 2
21 #800_000_000 key[1] <=1; 
22 key[2] <=0; //0.8s 后松开按键 2,按下按键 3
23 #800_000_000 key[2] <=1; 
24 key[3] <=0; //0.8s 后松开按键 3,按下按键 4 
25 #800_000_000 key[3] <=1; //0.8s 后松开按键 4
26 
27 end
28 
29 always # (T/2) sys_clk <= ~sys_clk;
30 key_led u_key_led(
31 .sys_clk(sys_clk), 
32 .sys_rst_n(sys_rst_n), 
33 .key(key), 
34 .led(led) 
35 );
36 
37 endmodule


下载验证 :

 功能正常

总结与反思:

本次LED实验学到了KEY按键的使用,本次实验的缺点在于需要一直按下按键才能有正常的功能,应该设置一个标志位进行状态的切换比较好


http://www.ppmy.cn/news/11216.html

相关文章

用图记忆C语言中的运算符优先级

运算符优先级以及结合方向的统计表&#xff0c;网上到处可见。本文画了一张图&#xff0c;以便记忆&#xff01; 1. 总体来说优先级 初级运算 > 单目运算 > 双目运算 > 三目运算 > 赋值运算 > 逗号运算 2. 双目细分 算术运算 > 位移运算 > 关系运算 &g…

判断两条线段是否相交

参考链接&#xff1a; 1 2 一、判断线段是否相交需要下面两步&#xff1a; &#xff08;1&#xff09;快速排斥实验 &#xff08;2&#xff09;跨立实验 二、第一步快速排斥实验 对上图两条L1,L2线段来说&#xff0c;L1 x的最大值为d端点x5&#xff0c;L2 x的最小值为a端点x…

切面AOP

1.2 AOP体系与概念 简单地去理解&#xff0c;其实AOP要做三类事&#xff1a; 在哪里切入&#xff0c;也就是权限校验等非业务操作在哪些业务代码中执行。 在什么时候切入&#xff0c;是业务代码执行前还是执行后。 切入后做什么事&#xff0c;比如做权限校验、日志记录等。 因…

【RabbitMQ】SpringBoot整合RabbitMQ

文章目录搭建初始环境引入依赖配置配置文件HelloWorld模型使用Work模型使用Fanout 广播模型Route 路由模型Topic 订阅模型(动态路由模型)搭建初始环境 引入依赖 <!--引入与rabbitmq集成依赖--> <dependency><groupId>org.springframework.boot</groupId…

图像编辑Photoshop 2023中文新

Photoshop2023从照片编辑和合成到数字绘画、动画和图形设计-只要能想到&#xff0c;就能在Photoshop中创作出来。相信大家都有在用之前的版本&#xff0c;这款软件功能丰富&#xff0c;实用性很强&#xff0c;有着大量的功能用户都可以用上&#xff0c;不管是美化还是滤镜&…

JS的基础语法

作者&#xff1a;~小明学编程 文章专栏&#xff1a;JavaEE 格言&#xff1a;热爱编程的&#xff0c;终将被编程所厚爱。 目录 JavaScript的书写形式 行内式 内嵌式 外部式 注释 输入输出 输入 输出 JS的语法规则 变量 数据类型 数字类型 字符串类型 布尔类型 运算…

java8 第七章-----多线程

7.1、线程基本知识 进程与线程&#xff1a; 进程&#xff08;Process&#xff09;是系统进行资源分配和调度的基本单位&#xff0c;是操作系统结构的基础。在早期面向进程设计的计算机结构中&#xff0c;进程是程序的基本执行实体&#xff1b;在当代面向线程设计的计算机结构…

【Linux】缓冲区理解

​&#x1f320; 作者&#xff1a;阿亮joy. &#x1f386;专栏&#xff1a;《学会Linux》 &#x1f387; 座右铭&#xff1a;每个优秀的人都有一段沉默的时光&#xff0c;那段时光是付出了很多努力却得不到结果的日子&#xff0c;我们把它叫做扎根 目录&#x1f449;缓冲区&am…