DDS基本原理--FPGA学习笔记

devtools/2024/12/21 21:52:35/

DDS信号发生器原理:

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2024/09/04 15:20:30
// Design Name: hilary
// Module Name: DDS_Module
//module DDS_Module(Clk,Reset_n,Fword,Pword,Data);input Clk;input Reset_n;input [31:0] Fword;input [11:0] Pword;output [13:0] Data;//频率控制字的同步寄存器reg [31:0] Fword_r ;always@(posedge Clk)Fword_r <= Fword ;//相位控制字的同步寄存器reg [31:0] Pword_r ;always@(posedge Clk)Pword_r <= Pword ;        //相位累加寄存器reg [31:0] Freq_ACC;always@(posedge Clk or negedge Reset_n)if(!Reset_n)Freq_ACC <= 0  ;elseFreq_ACC <= Fword_r + Freq_ACC ;//波形数据表地址        wire [11:0] Rom_Addr;assign Rom_Addr = Freq_ACC[31:20] + Pword_r;rom rom(.clka(Clk),.addra(Rom_Addr),.douta(Data));endmodule
`timescale 1ns / 1psmodule DDS_Module_tb;reg Clk;reg Reset_n;reg [31:0] FwordA,FwordB;reg[11:0] PwordA,PwordB;wire [13:0] DataA,DataB;DDS_Module DDS_ModuleA(Clk,Reset_n,FwordA,PwordA,DataA      );DDS_Module DDS_ModuleB(Clk,Reset_n,FwordB,PwordB,DataB        );       initial Clk = 1;always#10 Clk = ~Clk;initial beginReset_n = 0;FwordA = 65536;PwordA = 0;FwordB = 65536;PwordB = 1024;#201;Reset_n = 1;#5000000;FwordA = 65536*1024;FwordB = 65536*1024;PwordA = 0;PwordB = 2048;#1000000;$stop;end
endmodule


http://www.ppmy.cn/devtools/109293.html

相关文章

tftp环境搭建

文章目录 1. 背景2. tftp 介绍3.tftp 环境搭建3.1 服务端环境搭建3.1.1 windows 环境搭建3.1.2 linux 环境搭建3.2 客户端环境搭建 1. 背景 当拿到一块硬件设计的新板子或者新购买的开发板时&#xff0c;搭建一个网络文件传输通路十分重要&#xff0c;使用网络服务进行文件传输…

spring项目整合log4j2日志框架(含log4j无法打印出日志的情况,含解决办法)

Spring整合Log4j2的整体流程 1&#xff09;导入log4j-core依赖 <!--导入日志框架--><dependency><groupId>org.apache.logging.log4j</groupId><artifactId>log4j-core</artifactId><version>2.20.0</version></dependenc…

模拟gitlab

在gitlab创建一个空白项目 1.利纳斯的git > gitlab 在桌面建一个文件夹&#xff0c;点击去 点击Open Git Bash here 输入 克隆项目路径 路径是git仓库的 ls 查看 git clone http://192.168.58.110:49151/root/uzi-jumping-over-the-wall-course.gitcd 到仓库 …

免费OCR 文字识别工具

免费&#xff1a;本项目所有代码开源&#xff0c;完全免费。 方便&#xff1a;解压即用&#xff0c;离线运行&#xff0c;无需网络。 高效&#xff1a;自带高效率的离线OCR引擎&#xff0c;内置多种语言识别库。 灵活&#xff1a;支持命令行、HTTP接口等外部调用方式。 功能…

【Linux】System V通信

目录 System V共享内存 共享内存数据结构 共享内存函数 共享内存的关联 共享内存的去关联 用共享内存实现serve&client通信 共享内存与管道进行对比 System V共享内存 管道通信本质是基于文件的&#xff0c;也就是说操作系统并没有为此做过多的设计工作&#xff0c;…

014.PL-SQL编程

我 的 个 人 主 页&#xff1a;&#x1f449;&#x1f449; 失心疯的个人主页 &#x1f448;&#x1f448; 入 门 教 程 推 荐 &#xff1a;&#x1f449;&#x1f449; Python零基础入门教程合集 &#x1f448;&#x1f448; 虚 拟 环 境 搭 建 &#xff1a;&#x1f449;&…

软件测试-Selenium+python自动化测试

目录 会用到谷歌浏览器Chrome测试,需要下载一个Chromedriver(Chrome for Testing availability)对应自己的浏览器版本号选择。 一、元素定位 对html网页中的元素进行定位,同时进行部分操作。 1.1一个简单的模板 from selenium import webdriver from selenium.webdrive…

SQL优化:执行计划详细分析

视频讲解&#xff1a;SQL优化&#xff1a;SQL执行计划详细分析_哔哩哔哩_bilibili 1.1 执行计划详解 id select_type table partitions type possible_keys key key_len ref rows filtered Extra 1.1.1 ID 【概…