vivado 物理约束KEEP_HIERARCHY

server/2024/10/9 1:27:46/
KEEP_HIERARCHY
Applied To
Cells
Constraint Values
TRUE
FALSE
YES
NO
UCF Example
INST u1 KEEP_HIERARCHY = TRUE;
XDC Example
set_property DONT_TOUCH true [get_cells u1]
IOB
Applied To
Cells
Constraint Values
IOB_XnYn
UCF Example
INST ib[0] LOC = IOB_X0Y341;
XDC Example
set_property LOC IOB_X0Y341 [get_cells ib[0]]
IN_FIFO
Applied To
Cells
Constraint Values
IN_FIFO_XnYn
UCF Example
INST infifo_inst LOC = IN_FIFO_X0Y24;
XDC Example
set_property LOC IN_FIFO_X0Y24 [get_cells
infifo_inst]
OUT_FIFO
Applied To
Cells
Constraint Values
OUT_FIFO_XnYn
UCF Example
INST outfifo_inst LOC = OUT_FIFO_X0Y24;
XDC Example
set_property LOC OUT_FIFO_X0Y24 [get_cells
outfifo_inst]
ILOGIC
Applied To
Cells
Constraint Values
ILOGIC_XnYn
UCF Example
INST ireg LOC = ILOGIC_X0Y76;
XDC Example
set_property LOC ILOGIC_X0Y76 [get_cells ireg]
OLOGIC
Applied To
Cells
Constraint Values
OLOGIC_XnYn
UCF Example
INST oreg LOC = OLOGIC_X0Y76
XDC Example
set_property LOC OLOGIC_X0Y76 [get_cells oreg]
IDELAY
Applied To
Cells
Constraint Values
IDELAY_XnYn
UCF Example
INST idelay0 LOC = IDELAY_X0Y21;
XDC Example
set_property LOC IDELAY_X0Y21 [get_cells
idelay0]
IDELAYCTRL
Applied To
Cells
Constraint Values
IDELAYCTRL_XnYn
UCF Example
INST idelayctrl0 LOC = IDELAYCTRL_X0Y0;
XDC Example
set_property LOC IDELAYCTRL_X0Y0 [get_cells
idelayctrl0]
BEL
A5LUT, B5LUT, C5LUT, D5LUT
Applied To
Cells
Constraint Values
A5LUT, B5LUT, C5LUT, D5LUT
UCF Example
INST a0 BEL = A5LUT;
XDC Example
set_property BEL A5LUT [get_cells a0]
A6LUT, B6LUT, C6LUT, D6LUT
Applied To
Cells
Constraint Values
A6LUT, B6LUT, C6LUT, D6LUT
UCF Example
INST a0 BEL = D6LUT;
XDC Example
set_property BEL D6LUT [get_cells a0]
AFF, BFF, CFF, DFF
Applied To
Cells
Constraint Values
AFF, BFF, CFF, DFF
UCF Example
INST a_reg[0] BEL = CFF;
XDC Example
set_property BEL CFF [get_cells a_reg[0]]
A5FF, B5FF, C5FF, D5FF
Applied To
Cells
Constraint Values
A5FF, B5FF, C5FF, D5FF
UCF Example
INST a_reg[0] BEL = B5FF;
XDC Example
set_property BEL B5FF [get_cells a_reg[0]]
F7AMUX, F7BMUX
Applied To
Cells
Constraint Values
F7AMUX, F7BMUX
UCF Example
INST m0 BEL = F7BMUX;
XDC Example
set_property BEL F7BMUX [get_cells m0]
IOB
TRUE
Applied To
FF cells
Constraint Values
TRUE
UCF Example
INST a1_reg[*] IOB = TRUE;
XDC Example
set_property IOB TRUE [get_ports
DataOut_pad[*]]
TIP: XDC example 1, above, puts the property on the port itself and causes the flop that drives the IO
buffer to be placed inside the pad.
FALSE
Applied To
FF cells
Constraint Values
FALSE
UCF Example
INST b1_reg[*] IOB = FORCE;
XDC Example
set_property IOB TRUE [get_cells a1_reg[*]]
FORCE
Applied To
FF cells
Constraint Values
FORCE
UCF Example
INST q_reg[*] IOB = FALSE;
XDC Example
set_property IOB TRUE [get_cells q_reg[*]]
Note : The Vivado Design Suite does not support this
constraint in XDC. Use TRUE instead.
H_SET
Applied To
Cells
Constraint Values
Tool-generated string
UCF Example
N/A
XDC Example
N/A
Note : For more information, see Relative Location (RLOC) in
the Constraints Guide (UG625). In the Vivado Design Suite,
H_SET cells have a property called RPM.
U_SET
Applied To
Cells
Constraint Values
String
UCF Example
INST u0 U_SET = h0; (usually set in UCF)
XDC Example
The Vivado Design Suite does not support this constraint in
XDC.
U_SET must be placed in HDL code as an attribute.
For more information, see Relative Location (RLOC) in the
Constraints Guide (UG625).
RLOC
Applied To
Cells
Constraint Values
XnYn
UCF Example
INST u0 RLOC = X2Y1;
XDC Example
The Vivado Design Suite does not support this constraint in
XDC.
RLOC must be placed in HDL code as an attribute.
For more information, see Relative Location (RLOC) in the
Constraints Guide (UG625).
RLOC_ORIGIN
Applied To
Cells
Constraint Values
XnYn
UCF Example
INST u0 RLOC_ORIGIN = X144Y255;
XDC Example
The Vivado Design Suite does not support this constraint in
XDC.
RLOC_ORIGIN must be placed in HDL code as an attribute.
For more information, see Relative Location (RLOC) in the
Constraints Guide (UG625).
RPM_GRID
Applied To
Cells
Constraint Values
GRID
UCF Example
INST u0 RPM_GRID = GRID;
XDC Example
The Vivado Design Suite does not support this constraint in
XDC.
RPM_GRID must be placed in HDL code as an attribute.
For more information, see Relative Location (RLOC) in the
Constraints Guide (UG625).
USE_RLOC
Applied To
Cells
Constraint Values
TRUE, FALSE
UCF Example
INST u0 USE_RLOC = FALSE;
XDC Example
The Vivado Design Suite does not support this constraint in
XDC.
RLOC_RANGE
Applied To
Cells
Constraint Values
XnYn:XnYn
UCF Example
INST u0 RLOC_RANGE = X1Y1:X3Y3;
XDC Example
The Vivado Design Suite does not support this constraint in
XDC.
Create a Pblock with the desired range, and add the RPM
cells to the Pblock .
BLKNM
Applied To
Cells
Constraint Values
String
UCF Example
INST u0 BLKNM = blk0;
XDC Example
The Vivado Design Suite does not support this constraint in
XDC.
HBLKNM
Applied To
Cells, Nets
Constraint Values
String
UCF Example
INST u0 HBLKNM = blk0;
XDC Example
The Vivado Design Suite does not support this constraint in
XDC.
XBLKNM
Applied To
Cells, Nets
Constraint Values
String
UCF Example
INST u0 XBLKNM = blk0;
XDC Example
The Vivado Design Suite does not support this constraint in
XDC.
Use BEL PROHIBIT to keep out unrelated logic.
HLUTNM
Applied To
LUT cells
Constraint Values
String
UCF Example
UCF is not allowed, only HDL.
XDC Example
set_property HLUTNM h0 [get_cells {LUT0 LUT1}]
LUTNM
Applied To
LUT cells
Constraint Values
String
UCF Example
UCF is not allowed, only HDL.
XDC Example
set_property LUTNM h0 [get_cells {LUT0 LUT1}]
USE_LUTNM
Applied To
LUT cells
Constraint Values
TRUE, FALSE
UCF Example
INST lut0 USE_LUTNM = FALSE;
XDC Example
The Vivado Design Suite does not support this constraint in
XDC.

http://www.ppmy.cn/server/42544.html

相关文章

Spring Boot集成Security快速入门Demo

1.什么是Security? Spring Security是一个Java框架,用于保护应用程序的安全性。它提供了一套全面的安全解决方案,包括身份验证、授权、防止攻击等功能。Spring Security基于过滤器链的概念,可以轻松地集成到任何基于Spring的应用…

docker redis 持久化

1、拉取redis镜像 docker pull redis:latest 2、 mkdir /data/redis 3、填充redis.conf文件及根据需求修改相应的配置 •通过官网地址找到对应版本的配置文件 •将配置信息复制到redis.conf中 •常见的修改配置 https://redis.io/docs/latest/operate/oss_and_stack/managem…

hudi相关疑问

标题 1、flink流式写入hudi表时,Changelog模式和Append模式区别Changelog 模式Append 模式配置示例配置 Append 模式配置 Changelog 模式 总结 2、flink流式写入hudi表时,设置了Changelog模式,还需要设置write.operation参数吗Changelog 模式…

vue打包部署到springboot中,看这篇就够了

如果不清楚springboot中的static和templates目录可以看这篇 static和templates目录 1、问题 vue打包后部署到springboot中访问,毕竟前后端分离部署的时候要分开,多了一个服务,可以将vue打包后放在springboot中的static目录下,网…

蓝桥杯-合并数列

小明发现有很多方案可以把一个很大的正整数拆成若干正整数的和。他采取了其中两种方案,分别将它们列为两个数组 {a1, a2, …, an} 和 {b1, b2, …, bm}。两个数组的和相同。 定义一次合并操作可以将某数组内相邻的两个数合并为一个新数,新数的值是原来两…

【vue/ucharts】ucharts 自定义格式化 y 轴数据显示(横向柱状图常用)

使用 ucharts 的柱状图时,尤其是横向柱状图会更常见,会有自定义 y 轴数据的情况,就像使用过滤器时对数据进行格式化以达到自己想要的效果一样; 比如我想要这样的效果: 官网里的栗子如图所示: 但是如果此…

Linux——进程信号(一)

1.信号入门 1.1生活中的信号 什么是信号? 结合实际红绿灯、闹钟、游戏中的"!"等等这些都是信号。 以红绿灯为例子: 一看到红绿灯我们就知道:红灯停、绿灯行;我们不仅知道它是一个红绿灯而且知道当其出现不同的状况…

c++面试题记录

面向对象的程序设计思想是什么? 答:把数据结构和对数据结构进行操作的方法封装形成一个个的对象。 在头文件中进行类的声明,在对应的实现文件中进行类的定义有什么意义? 答:这样可以提高编译效率,因为分开的…