在wsl环境中配置和开发verilog(一种比较新颖的verilog开发指南)

server/2025/2/23 4:22:09/

WSL是windows中自带的linux子系统,笔者在若干月前首次接触其便爱不释手,verilog作为一种硬件解释语言,可否像c语言那样被游刃有余的编译和运行呢,笔者这次大胆的尝试在WSL环境+VSCODE+Iverilog开发verilog。

首先默认按照了WSL和VSCODE,WSL安装可以自行在网上搜索帖子。

随后在WSL的ubuntu系统的命令行中输入如下指令:

sudo apt-get install iverilog 
sudo apt-get install gtkwave 

这是安装iverilog编译器和gtwake图形显示软件。

随后打开Vscode采用SSH连接到WSL,新建一个文件夹,在其中打开终端。

为了仿真和测试你的Verilog设计,通常需要一个testbench文件(例如testbench.v)。Testbench不是编译Verilog代码所必需的,但是没有它,你将无法进行仿真来验证你的设计是否按预期工作。

以下是为什么需要testbench的原因:

  1. 激励生成:Testbench提供了一个环境,你可以在这个环境中生成激励信号来模拟实际硬件的工作条件。

  2. 行为验证:通过在testbench中对设计模块的输入进行操作,并观察输出,你可以验证设计的行为是否符合预期。

  3. 波形观察:Testbench允许你将仿真的信号输出到波形文件中,这样你可以使用波形查看器来分析信号随时间的变化。

  4. 调试:如果设计不按预期工作,testbench可以帮助你调试问题,因为它允许你逐步通过仿真来检查各个信号的状态。

编译Verilog代码本身不需要testbench,编译过程只是将Verilog代码转换成仿真器可以理解的格式。但是,为了进行仿真,你需要一个testbench来:

  • 实例化你的设计模块。

  • 提供激励。

  • 观察和验证输出。

在文件夹中将下面两份代码用vim filename的方法新建并编辑:

module adder(clk, rst_n, a, b, c);input [3:0] a, b; // 输入a和b为4位宽output [7:0] c;   // 输出c为8位宽input clk, rst_n;reg [7:0] c_reg;always @(posedge clk or negedge rst_n) beginif (!rst_n) c_reg <= 8'h0;else c_reg <= a + b;endassign c = c_reg;
endmodule

上面的代码命名为adder_rtl.v,这是我们写的模块代码,实现加法器功能

`timescale 1ns / 1ps
​
module my_testbench;
​
// Inputs
reg clk;
reg reset;
​
// Outputs
wire out;
​
// Instantiate the Unit Under Test (UUT)
adder uut (.clk(clk), .rst_n(reset), .a(4'b0010), .b(4'b0011), .c(out)
);
initial begin// Initialize Inputsclk = 0;reset = 0;
​// Wait 100 ns for global reset to finish#100;// Add stimulus herereset = 1;#10;reset = 0;
​forever #5 clk = ~clk; // Toggle clock every 5ns
end
​
// Monitor the outputs
initial begin$monitor("At time %t, output is %b",$time, out);
end
​
// Dump the waveforms
initial begin$dumpfile("my_module.vcd");$dumpvars(0, my_testbench);
end
​
endmodule

上面的文件命名为my_testbench.v,是测试代码,有点类似于嵌入式开发中的main.c,在其中实例化我们写的加法器模块。 后面在终端中输入如下指令首先编译生成.out文件,再生成波形文件,再用波形查看软件gtkwave来观察波形。

iverilog -o my_simulation.out my_module.v my_testbench.v
vvp my_simulation.out
gtkwave my_module.vcd
​

my_module.vcd是在my_testbench.v中规定的生成波形文件,要用vvp来加工生成的.out文件来生成波形文件。下面是生成的波形观察窗口,里面显示了verilog的若干波形。


http://www.ppmy.cn/server/170035.html

相关文章

Apache Logic4j 库反序列化漏洞复现与深度剖析

前言 在渗透测试领域&#xff0c;反序列化漏洞一直是安全研究人员和攻击者关注的焦点。今天&#xff0c;我们将深入探讨 Apache Logic4j 库中的反序列化漏洞&#xff0c;详细了解其原理&#xff0c;并进行完整的复现演示。 一、漏洞原理 Apache Logic4j 库在处理对象的反序列…

Typora的Github主题美化

[!note] Typora的Github主题进行一些自己喜欢的修改&#xff0c;主要包括&#xff1a;字体、代码块、表格样式 美化前&#xff1a; 美化后&#xff1a; 一、字体更换 之前便看上了「中文网字计划」的「朱雀仿宋」字体&#xff0c;于是一直想更换字体&#xff0c;奈何自己拖延症…

ubuntu 守护进程

#!/bin/bash # 定义所守护的进程名称或关键字 TARGET_PROCESS"AppRun" while true; do # 检测目标进程是否运行 if pgrep -x "$TARGET_PROCESS" >/dev/null; then echo "The process is running." else # 启…

SpringBoot项目集成MinIO

最近在学习MinIO&#xff0c;所以想让自己的SpringBoot项目集成MinIO,在网上查阅资料&#xff0c;并进行操作的过程中遇到一些问题&#xff0c;所以想把自己遇到的坑和完成步骤记录下来供自己和各位查阅。 一. MinIO的下载安装以及基本使用 1. 下载地址&#xff1a;https://d…

通过例子学 rust 个人精简版 5-all

5 类型转换 fn main() {let decimal 65.4321_f32;let integer decimal as u8;let character integer as char;println!("Casting: {} -> {} -> {}", decimal, integer, character);//Casting: 65.4321 -> 65 -> A }要点1 &#xff1a; 精度丢失个人…

基于微信小程序的民宿短租系统设计与实现(ssm论文源码调试讲解)

第4章 系统设计 4.1系统设计的目标 系统设计的目标是满足用户的需求和满足系统实现所需要的所有要求。本系统收集了信息浏览、信息删除、信息添加、信息修改、信息查询为一体[17]。改变了用户民宿短租的方式&#xff0c;提高管理员管理效率以及用户预订的效率。为用户、房主提…

如何通过 Python 实现一个消息队列,为在线客服系统与海外运营的APP对接

对方有两个核心需求: 访客上线的时候,要通知对方的业务系统,业务系统根据访客的身份信息,推送个性化的欢迎词。访客完成下单的时候,要能推送一个下单成功的通知,并且包含订单信息和链接。根据这两个需求,那就需要实现由客服系统到业务系统的消息队列推送,以及通过 Open…

网络安全知识:网络安全网格架构

在数字化转型的主导下&#xff0c;大多数组织利用多云或混合环境&#xff0c;包括本地基础设施、云服务和应用程序以及第三方实体&#xff0c;以及在网络中运行的用户和设备身份。在这种情况下&#xff0c;保护组织资产免受威胁涉及实现一个统一的框架&#xff0c;该框架根据组…