初识verilog HDL

server/2025/1/11 14:33:03/

为什么选择用Verilog HDL开发FPGA???

  硬件描述语言(Hardware Descriptipon Lagnuage,HDL)通过硬件的方式来产生与之对应的真实的硬件电路,最终实现所设计的预期功能,其设计方法与软件不同,因此也就意味着描述的各个功能之间可以和硬件一样实现真正的并行互动。将这种语言成为硬件描述语言而非硬件语言的原因是:这种语言是用来描述我们设计的硬件所要实现的功能,而不是直接对硬件进行设计。

  目前常用的语言是  verilog HDL 和  VHDL。对比而言,

  VHDL和Verilog 相比,VHDL语法比Verilog严谨。VHDL语法比Verilog冗长,所以建议学习Verilog做为FPGA开发语言。

  Verilog inout端口

  

module  test
(input    wire    sel         ,input    wire    data_out    ,inout    wire    data_bus    ,input    wire    data_in
);assign data_in  = data_bus    ;assign data_bus = (sel == 1'b1)?data_out:1'bz; endmodule

Verilog中的系统任务和系统函数

  verilog语言中定义了一些任务和函数,用于完成一些特殊功能,它们称为系统任务和系统函数,这些函数大多数只能在TB仿真中使用,使我们更方便验证。

    

·timescale 1ns/1ns   //`timescale 表示时间尺度预编译指令   1ns/1ns  表示 时间单位  时间精度

时间单位和时间精度由值1、10和100以及单位s、ms、us、ns、ps、fs组成。

时间单位定义了仿真过程与时间相关量的单位。仿真中使用“#数字” 表示延时 #10 表示延时10ns

  主要函数如下:

  1、 $display("%b + %b = %d",a,b,c);

`timescale 1ns/1nsmodule tb_test();reg [3:0]    a    ;reg [3:0]    b    ;reg [3:0]    c    ;initialbegin$display("hello");$display("Embedfire");a = 4'd5    ;b = 4'd6    ;c = a + b   ;#100;$display("%b + %b = %d",a,b,c);endendmodule

2 $write输出信息

`timescale 1ns/1nsmodule  tb_test();reg [3:0]    a    ;reg [3:0]    b    ;reg [3:0]    c    ;initialbegin$write("hello")    ;$write("Embedfire") ;a = 4'd5    ;b = 4'd6    ;c = a + b   ;#100;$write("%b + %b = %d\n",a,b,c);endendmodule

3 $strobe输出信息

`timescale 1ns/1nsmodule tb_test();reg [3:0]    a    ;reg [3:0]    b    ;reg [3:0]    c    ;initialbegin$strobe("strobe:%b + %b = %d",a,b,c);a = 4'd5        ;#display("display:%b + %b = %d",a,,b,c);b = 4'd6    ;c = a + b    ;endendmodule

4 $monitor用于持续监测变量

`timescale 1ns/1nsmodule tb_test();reg [3:0]    a    ;reg [3:0]    b    ;reg [3:0]    c    ;initialbegina = 4'd5    ;#100    ;b = 4'd6    ;#100    ;c = a + b    ;endinitialbegin$monitor("%b + %b = %d ",a,b,c)    ;endendmodule

5 $stop用于暂停仿真,$finish用于仿真结束

`timescale 1ns/1nsmodule tb_test();initialbegin$display("hello")                ;$display("Embedfire")            ;    #100                             ;#display("Stop Simulation")      ;$stop    ;$display("Continue Simulation")  ;#100    ;$display("Finish Simulation")    ;#finish    ;  endendmodule

6  $time为时间函数,返回64位当前仿真时间;$random用与产生随机函数,返回随机数。

`timescale 1ns/1nsmodule tb_test();reg [3:0]    a    ;always # 10 a = $random    ;initialbegin$monitor("a = %d,time :%d",a,$time)    ;endendmodule


http://www.ppmy.cn/server/157491.html

相关文章

kubeneters-循序渐进Cilium网络(二)

文章目录 概要IP 地址配置接口配置解析结论 概要 接续前一章节,我们还是以这张图继续深入Cilium网络世界 IP 地址配置 通过检查 Kubernetes 集群的当前环境,可以获取实际的 IP 地址和配置信息。这些信息将被补充到之前的网络示意图中,以使…

awr报告无法生成:常见案例与解决办法

awr报告无法生成:常见案例与解决办法 STATISTICS_LEVEL设置过低数据库打开状态不对主库隐含参数设置错误MMON子进程被SuspendSYS模式统计信息过期WRH$_SQL_PLAN表数据量太大AWR绑定变量信息收集超时撞上数据库Bug 9040676STATISTICS_LEVEL设置过低 STATISTICS_LEVEL设置为BAS…

计算机网络之---子网划分与IP地址

子网划分与IP地址的关系 在计算机网络中,子网划分(Subnetworking)是将一个网络划分为多个子网络的过程。通过子网划分,可以有效地管理和利用IP地址空间,提高网络的性能、安全性和管理效率。 子网划分的基本目的是通过…

现代谱估计的原理及MATLAB仿真(二)(AR模型法、MVDR法、MUSIC法)

现代谱估计的原理及MATLAB仿真AR参数模型法(参数模型功率谱估计)、MVDR法(最小方差无失真响应法)、MUSIC法(多重信号分类法) 文章目录 前言一、AR参数模型1 原理2 MATLAB仿真 二、MVDR法1 原理2 MATLAB仿真…

Ubuntu 安装 Java 1.8

如果你希望使用 Oracle JDK 8,可以按照以下步骤操作: 下载 Oracle JDK 8: 访问 Oracle 官方网站 下载适用于 Ubuntu 的 JDK 8 版本 安装 Oracle JDK 8: 将下载的 JDK 8 压缩包解压到一个目录中,例如 /opt/module&…

【计算机网络】什么是网关(Gateway)?

网上冲浪多了,你可以听到过网关(Gateway)这个词,但是却不太清楚网关(Gateway)到底是干什么的、负责网络当中的什么任务,本篇文字将会为你介绍网关(Gateway)的作用&#x…

公众号如何通过openid获取unionid

通过接口 https://api.weixin.qq.com/cgi-bin/user/info?access_tokenxxxxxxx&langzh_CN 返回的数据如下: 前提是必须绑定 微信开放平台 token如何获取呢 代码如下: String tokenUrl "https://api.weixin.qq.com/cgi-bin/token"; …

Flink 写入 Doris 常见报错和问题

字段数量、格式问题 task 一启动就会有明确的报错,直接调整即可 运行一会报错,报错中有个 doris 链接 可以通过链接访问拿到报错日志,里面有错误信息 长度之类的问题,自己调整字段长度,或者过滤 一些特殊字符&…