Quartus sdc UI界面设置(二)

server/2024/9/20 2:49:09/ 标签: fpga开发

Quartus sdc设置

根据一配置quartus综合简单流程(一)
上次文章中,说了自己写sdc需要配置的分类点,这次将说明在UI界面配置sdc。

1. 在Quartus软件中,导入verilog设计之后,打开Tools/TimeQuest Timing Analyzer

界面大致分为上下两部分,上半部分左侧显示Report、Tasks,右侧显示欢迎界面;
下半部分显示Console和History,此处缺图,但是瑕不掩瑜。

2. 先介绍Tasks界面,在Tasks中,可以看到:

2.1 Open Project

2.2 Netlist Setup

在Netlist Setup中有二级下拉菜单:Create Timing Netlist、Read SDC File、Update Timing Netlist
如果有sdc文件,那么就可以直接选择Read SDC File,读取已有的sdc文件。

2.3 Reset Design

	Reset Design,命令是将刚刚没有使用write sdc命令的设置,清除掉,已准备重新设置约束。

2.4 Set Operating Conditions

	此设置用来配置操作环境,一般只用fpga来验证功能,而非验证时序性能,此配置根据默认即可。

2.5 Reports

此项配置下面,有二级菜单:Slack、Datasheet、Device Specific、Diagnostic、Custom Reports、Macros
这里只介绍长用命令
Slack中用来查看setup、hold、recovery、removal等约束信息。其他信息按需查看
Diagnostic中用来查看clocks、clock Tree、clock Transfers、Unconstrained Paths、SDC、Ignored Constraints、check Timing等信息。
其中report SDC可以查看自己已经配置好的sdc是否正确。

2.6 Write SDC File

此命令用来保存已设置好的sdc文件。

3. 设置约束

在打开的TimeQuest Timing Analyzer界面,可以看到菜单栏有以下功能:
File、View、Netlist、Constraints、Reports、Script、Tools、Windows、Help
使用最频繁的就是Constraints与Reports,Reports与第2小结中Reports功能相同。故这里只介绍Constraints界面。
Constraints的二级下拉菜单为设置约束的具体分类命令:

3.1 Create Clock

此命令用来设置port的时钟信号,设置clk_name,周期,目标port等。

3.2 Create Generated Clock

此命令用来设置内部的时钟信号,设置clk_name,源时钟,目标cell/register,分频或倍频倍数,相位,偏移量等等。也可以使用Edge list界面,设置非50%占空比的时钟。

3.3 Set Clock Latency

设置时钟延时

3.4 Set Clock Uncertainty

3.5 Set Clock Groups

设置异步时钟、同步时钟分组

3.6 Remove Clock

删除已添加的clock,包含clk和generated clk

3.7 Set Input Delay

设置port的input delay,根据自己的工程来配置;
可设置port的依赖时钟,可定义为clock的fall edge;
可设置max,min,both延时,也可设置数据变化的边沿延时,Rise、Fall、Both等;
可设置具体延时,单位为ns,建议勾选 Add delay,同一port重复设置max、min不会相互覆盖;
可设置port的目标端口,可在设计中检索。

3.8 Set Output Delay

设置port的output delay,根据自己的工程来配置;
可设置port的依赖时钟,可定义为clock的fall edge;
可设置max,min,both延时,也可设置数据变化的边沿延时,Rise、Fall、Both等;
可设置具体延时,单位为ns,建议勾选 Add delay,同一port重复设置max、min不会相互覆盖;
可设置port的目标端口,可在设计中检索。

3.9 Derive PLL Clocks

3.10 Derive Clock Uncertainty

3.11 Set False Path

设置不需要时序检查的路径,from、through、to等。

3.12 Set Multicycle Path

设置多周期路径,from、through、to之外,还可以设置setup、hold的检查,周期个数等。

3.13 Set Maximum Delay

设置内部逻辑的最大延时,from、through、to路径选择,delay value延时值。

3.14 Set Minimum Delay

设置内部逻辑的最小延时,from、through、to路径选择,delay value延时值。

3.15 Set Max Skew

3.16 Set Net Delay

3.17 Read SDC File

3.18 Write SDC File

3.19 Reset Design


http://www.ppmy.cn/server/119240.html

相关文章

在网络环境中怎么保护个人信息安全?

在网络环境中保护个人信息安全非常重要,以下是一些基本的建议来帮助您保护自己的个人信息: 使用强密码:确保您的所有在线账户都使用强密码。强密码通常包含大写字母、小写字母、数字以及特殊字符,并且长度至少为12位以上。 启用双…

ASPICE培训:打造卓越的汽车软件开发能力

随着汽车行业的快速发展和智能化技术的不断演进,汽车软件开发已成为汽车制造过程中不可或缺的一部分。为了确保汽车软件的质量、可靠性和安全性,ASPICE(Automotive SPICE)作为一种国际公认的汽车软件开发过程评估标准,…

Docker安装 ▎Docker详细讲解 ▎数据卷挂载 ▎Nginx安装理解

前言 Docker是一种容器化技术,简化软件的部署和管理。文章详细解释了Docker的架构、安装步骤和常用命令,帮助用户快速启动和管理容器。还介绍了Docker镜像命令和数据卷挂载的实例,增强对持久化存储的理解,并涵盖了Nginx的安装方法…

红帽9中nginx-源码编译php

什么是PHP-FPM? PHP-FPM(FastCGI Process Manager: FastCGI进程管理器)是一个实现了Fastcgi的程序,并且提供进程管理的功能。 进程包括master进程和worker进程。master进程只有一个,负责监听端口,接受来自web server 的…

34.贪心算法1

0.贪心算法 1.柠檬水找零(easy) . - 力扣(LeetCode) 题目解析 算法原理 代码 class Solution {public boolean lemonadeChange(int[] bills) {int five 0, ten 0;for (int x : bills) {if (x 5) // 5 元:直接收下…

初次加载资源时无法正常加载,需要多次刷新、请求才能拿到资源的有效解决方案

初次加载资源时无法正常加载,需要多次刷新、请求才能拿到资源的有效解决方案 前言 在使用cloudinary进行图片上传并获取图片链接作为用户头像时发现,图片链接网址初次加载会报错:ERR_CONNECTION_RESET必须刷新几次才能获取到,原…

望繁信科技携流程智能解决方案亮相CNDS 2024新能源产业数智峰会

9月13日,CNDS 2024中国新能源产业数智峰会在北京圆满落幕。本次峰会以“走向数字新能源”为主题,汇聚了来自新能源领域的顶尖领袖、专家学者及知名企业代表,共同探讨数字化技术在新能源行业中的创新应用和发展趋势。上海望繁信科技有限公司&a…

计算机视觉硬件知识点整理(三):镜头

提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 文章目录 前言一、镜头的基础知识与类型二、镜头知识点总结:2.1 光圈2.2 景深2.3 调制传递函数:2.4 视场角2.5 焦距2.6 滤光片 前言 在这个信息化飞速…

1、vectorCast单元测试常用操作

一、自动创建测试工程 1、设置工作目录 进入软件主页面,点击file,选择set working directory,随便选择一个保存该项目的目录即可。 2、创建一个空工程 编译器选择vector自带的编译器,vectorCast MinGW C。 此时项目工程就创建好了 2.1、配置编译器节点 点击编译器节点…

如何使用Launch4J将我们jar包变成一个可执行文件exe

希望文章能给到你启发和灵感~ 如果觉得文章对你有帮助的话,点赞 + 关注+ 收藏 支持一下博主吧~ 阅读指南 开篇说明一、基础环境说明1.1 硬件环境1.2 软件环境二、Launch4J的安装下载三、如何进行配置和生成3.1 基础设置3.2 header设置3.3 jre设置四、常用功能界面大致介绍4.…

DevOps -分布式追踪与监控

DevOps中的分布式追踪与监控 在当今的DevOps环境中,随着微服务架构和云原生应用的广泛采用,系统复杂性显著增加,传统的监控方式已经无法满足现代分布式系统的需求。为了提高系统可观测性,分布式追踪和监控成为现代DevOps实践中至…

后端开发刷题 | 把数字翻译成字符串(动态规划)

描述 有一种将字母编码成数字的方式&#xff1a;a->1, b->2, ... , z->26。 现在给一串数字&#xff0c;返回有多少种可能的译码结果 数据范围&#xff1a;字符串长度满足 0<n≤90 进阶&#xff1a;空间复杂度 O(n)&#xff0c;时间复杂度 O(n) 示例1 输入&a…

微服务架构详解

微服务与SOA概述 SOA历史 SOA示例 微服务历史 SOA 被抛弃了么? 微服务与 SOA 剖析 SOA 架构剖析 ESB就是一个一个微服务的功能 ESB 功能举例 对象转换还有逻辑转换 很多东西都要在ESB里面处理 微服务剖析 把一个单体结构拆分多个小服务。为了让小服务之间通信方便&#x…

Android SPN/PLMN 显示逻辑简介

功能描述 当设备驻网后(运营商网络),会在状态栏、锁屏界面、下拉控制中心显示运营商的名称。 此名称来源有两种: 1、SPN(Service Provider Name) 2、PLMN (Public Land Mobile Name) 功能AOSP默认逻辑SPN提供SIM卡的运营商名称预置在SIM EF中,SIM卡发行运营商名称…

Python数据分析与可视化实战指南

在数据驱动的时代&#xff0c;Python因其简洁的语法、强大的库生态系统以及活跃的社区&#xff0c;成为了数据分析与可视化的首选语言。本文将通过一个详细的案例&#xff0c;带领大家学习如何使用Python进行数据分析&#xff0c;并通过可视化来直观呈现分析结果。 一、环境准…

算法入门-贪心1

第八部分&#xff1a;贪心 409.最长回文串&#xff08;简单&#xff09; 给定一个包含大写字母和小写字母的字符串 s &#xff0c;返回通过这些字母构造成的最长的回文串 的长度。 在构造过程中&#xff0c;请注意 区分大小写 。比如 "Aa" 不能当做一个回文字符串…

计算机毕业设计选题推荐-在线拍卖系统-Java/Python项目实战

✨作者主页&#xff1a;IT毕设梦工厂✨ 个人简介&#xff1a;曾从事计算机专业培训教学&#xff0c;擅长Java、Python、微信小程序、Golang、安卓Android等项目实战。接项目定制开发、代码讲解、答辩教学、文档编写、降重等。 ☑文末获取源码☑ 精彩专栏推荐⬇⬇⬇ Java项目 Py…

24年蓝桥杯及攻防世界赛题-MISC-3

21 reverseMe 复制图片&#xff0c;在线ocr识别&#xff0c;https://ocr.wdku.net/&#xff0c;都不费眼睛。 22 misc_pic_again ┌──(holyeyes㉿kali2023)-[~/Misc/tool-misc/zsteg] └─$ zsteg misc_pic_again.png imagedata … text: “$$KaTeX parse error: Undefined…

it基础软件运维管理:从操作系统到数据库,再到中间件和应用系统

在当今的信息化时代&#xff0c;基础软件的运维管理对于企业的稳定运营至关重要。从操作系统到数据库&#xff0c;再到中间件和应用系统&#xff0c;每一个环节都需要精细化的管理和维护。本文将深入探讨基础软件运维管理的关键方面&#xff0c;并结合监控易一体化运维软件&…

二十三种设计模式之建造者模式(类比汽车制造厂好理解一些)

目录 1. 设计模式的分类 2. 定义 3. 建造者模式通常包含以下几个角色 4. 示例代码 5. 建造者模式的主要优点 1. 设计模式的分类 创建型模式(五种)&#xff1a;工厂方法模式、单例模式、抽象工厂模式、原型模式、建造者模式。 结构型模式(七种)&#xff1a;适配器模式、代…