静态数码管显示

news/2024/11/15 7:33:11/

学习芯片: EP4CE6F17C8
本次学习使用的为共阴极数码管,即用低电平点亮数码管,同样可知,共阳极数码管的阳极连在一起,即用高电平点亮数码管。

八段数码管示意图:
在这里插入图片描述
在这里插入图片描述
a,b,c,d,e,f,g,dg表示八段数码管时,a为最低位,dp为最高位
八段数码管用8位二进制表示,由于本次实验使用共阴极数码管,所以0表示亮,1表示灭,即数码管显示数字0我们可以用8'b1100_0000表示,数字F使用8'b1000_0111表示,同理可知,共阴极数码管和共阳极数码管互为对方的按位取反。

任务描述:
六个数码管同时间隔0.5s显示0-f。要求:使用一个顶层模块,调用计时器模块和数码管静态显示模块。在这里插入图片描述
模块原理图:
在这里插入图片描述
代码设计:

/*
2023.7.13
计时0.5s,计满输出高电平
*/module time_count(input       wire        clk         ,input       wire        rst_n       ,output      reg         flag);parameter MAX_NUM = 26'd24_999_999;//0.5sreg [24:0]  cnt;always @(posedge clk or negedge rst_n) beginif(!rst_n) begincnt <= 26'd0;flag <= 1'b0;endelse if(cnt == MAX_NUM) begincnt <= 26'd0;flag <= 1'b1;endelse begincnt <= cnt + 1'd1;flag <= 1'b0;end
endendmodule 
/*
2023.7.13
数码管显示
*/module seg_led_static(input       wire        clk         ,input       wire        rst_n       ,input       wire        flag        ,//计满0.5s后,输入的高电平output      reg [5:0]   sel         ,//位选信号output      reg [7:0]   seg          //段选信号);reg [3:0] num;//保存当前数码管显示的数字always @(posedge clk or negedge rst_n) beginif(!rst_n)beginsel <= 6'b111111;endelse beginsel <= 6'b000000;//打开全部数码管end
endalways @(posedge clk or negedge rst_n) beginif(!rst_n) beginnum <= 4'h0;endelse if(flag) beginnum <= num + 1'h1;endelse beginnum <= num;end
endalways @(posedge clk or negedge rst_n) beginif(!rst_n)beginseg <= 8'b0;endelse begincase(num)4'h0:    seg <= 8'b1100_0000;//匹配到后参考共阴极真值表4'h1:    seg <= 8'b1111_1001;4'h2:    seg <= 8'b1010_0100;4'h3:    seg <= 8'b1011_0000;4'h4:    seg <= 8'b1001_1001;4'h5:    seg <= 8'b1001_0010;4'h6:    seg <= 8'b1000_0010;4'h7:    seg <= 8'b1111_1000;4'h8:    seg <= 8'b1000_0000;4'h9:    seg <= 8'b1001_0000;4'ha:    seg <= 8'b1000_1000;4'hb:    seg <= 8'b1000_0011;4'hc:    seg <= 8'b1100_0110;4'hd:    seg <= 8'b1010_0001;4'he:    seg <= 8'b1000_0110;4'hf:    seg <= 8'b1000_1110;default : seg <= 8'b1100_0000;endcase end     
endendmodule
/*
2023.7.13
静态数码管显示,间隔0.5s输出0——F.
*/module top_seg_led_static(input       wire        clk         ,input       wire        rst_n       ,output      wire [5:0]  sel         ,output      wire [7:0]  seg
);parameter MAX_NUM = 26'd24_999_999;
wire flag_reg;time_count #(.MAX_NUM (MAX_NUM)) u_time_count(.clk    (clk),.rst_n  (rst_n),.flag   (flag_reg));seg_led_static u_seg_led_static(.   clk         (clk),.   rst_n       (rst_n),.   flag        (flag_reg),.   sel         (sel),.   seg         (seg));endmodule

测试文件:

`timescale 1ns/1ns
module top_seg_led_static_tb();reg        clk;reg        rst_n;wire [5:0]  sel;//位选信号wire [7:0]  seg;//段选信号parameter MAX_NUM = 9;//切换一次状态的周期数量parameter CYCLE = 20;//周期always #(CYCLE/2) clk = ~clk;initial beginclk = 1'b0;rst_n = 1'b0;//开始复位#(CYCLE);rst_n = 1'b1;//结束复位#((MAX_NUM + 1)*CYCLE*16);$stop;endtop_seg_led_static #(.MAX_NUM (MAX_NUM)) u_top_seg_led_static(.clk(clk),.rst_n(rst_n),.sel(sel),.seg(seg));endmodule

我们通过modelsim仿真结果如下:
在这里插入图片描述
运行结果:
在这里插入图片描述


http://www.ppmy.cn/news/955304.html

相关文章

双令牌机制(chatgpt)

先记录下 访问令牌 & 刷新令牌 双令牌机制主要用于增加Web应用程序的安全性。这种机制通常包括两种类型的令牌&#xff1a;访问令牌&#xff08;Access Token&#xff09;和刷新令牌&#xff08;Refresh Token&#xff09;。 访问令牌&#xff1a;访问令牌是用户完成身份…

chatgpt赋能python:Python如何访问网页

Python如何访问网页 Python是一种被广泛用于web开发、数据科学和人工智能的编程语言。但是&#xff0c;你知道Python还可以用于访问网页吗&#xff1f;在本文中&#xff0c;我们将介绍Python如何访问网页&#xff0c;帮助您了解Python的这一方面&#xff0c;并掌握它的实际应用…

ChatGPT访问量再创新高:百度的60%,谷歌的2%

点击上方“视学算法”&#xff0c;选择加"星标"或“置顶” 重磅干货&#xff0c;第一时间送达 梦晨 发自 凹非寺量子位 | 公众号 QbitAI ChatGPT上月全球访问量 17.6 亿次&#xff0c;已达到谷歌的2%&#xff01; 根据SimilarWeb统计&#xff0c;已超越必应、鸭鸭走D…

chatgpt赋能python:Python如何访问文件

Python如何访问文件 Python是一种优秀的编程语言&#xff0c;被广泛应用于各种领域&#xff0c;包括文件处理。在Python中&#xff0c;我们可以使用内置的文件处理功能访问文件。 什么是文件&#xff1f; 文件是计算机系统中的一种数据存储形式。它们可以包含任何类型的信息…

ChatGPT 和 Elasticsearch:OpenAI 遇见私有数据(一)

作者&#xff1a;Jeff Vestal 结合 Elasticsearch 的搜索相关性和 OpenAI 的 ChatGPT 的问答功能来查询你的数据。 在此博客中&#xff0c;你将了解如何使用 Elasticsearch 将 ChatGPT 连接到专有数据存储&#xff0c;并为你的数据构建问答功能。 ChatGPT 和 Elasticsearch&…

ChatGPT访问互联网,不神秘了

我测试了一下&#xff0c;它其实调用了微软必应的api&#xff0c;搜索了关键词而已 比如我问它&#xff1a;https://twitter.com/elonmusk 马斯克最近在忙什么 它的回答是&#xff1a; 近期&#xff0c;马斯克在做的一些事情包括&#xff1a; 在2023年的特斯拉年度股东大会…

李彦宏:文心大模型 3.5 版本推理速度提升 17 倍;ChatGPT 访问量增速大降;Linux 6.4 发布|极客头条

「极客头条」—— 技术人员的新闻圈&#xff01; CSDN 的读者朋友们早上好哇&#xff0c;「极客头条」来啦&#xff0c;快来看今天都有哪些值得我们技术人关注的重要新闻吧。 整理 | 梦依丹 出品 | CSDN&#xff08;ID&#xff1a;CSDNnews&#xff09; 一分钟速览新闻点&…

教程 | 如何让ChatGPT 访问多个网址并进行分析总结?

我们常常会碰到多份文件互相引用纷繁复杂、若要手工逐一进行归纳、分析、想要找出规律是一件非常困难的事情&#xff0c;WebPilot这一插件就能较好地解决这一难题&#xff0c;本教程将介绍如何利用ChatGPT访问多个URL&#xff0c;从而对生成的内容进行总结与分析。 首先登陆您…