Vivado_除法器 IP核 使用详解

news/2024/12/29 2:08:49/

本文介绍使用Vivado中除法器Divider Generator(5.1)的使用方法。
参考资料:pg151

文章目录

  • Divider Generator
  • 仿真测试

Divider Generator

在这里插入图片描述
Channel Settings选项卡

#Common Options:
Algorithm Type:
一共有三种类型,分别是High Radix、LutMult、Radix2。用户可根据除数和被除数数位宽大小和延迟需求选择不同算法类型。
Opeand sign:
在High Radix类型下,仅支持Signed;在LutMult类型下,支持Unsigned和Signed;在Radix2类型下,支持Unsigned和Signed。

#Divivend Channel
Dividend Width: 设置被除数位宽,在不同算法类型下,支持的最大位宽不同。在High Radix类型和Radix2类型下,最大支持64位宽;在LutMult类型下,最大支持17位宽。
TLAST和TUSER端口,IP核不使用此端口信息,但会以与数据路径相同的延迟传输到输出通道。用户可以设置TUSER端口宽度。

#Divisor Channel
Divisor Width: 设置除数位宽,在不同算法类型下,支持的最大位宽不同。在High Radix类型和Radix2类型下,最大支持64位宽;在LutMult类型下,最大支持11位宽。
TLAST和TUSER端口,IP核不使用此端口信息,但会以与数据路径相同的延迟传输到输出通道。用户可以设置TUSER端口宽度。

#Output Channel
Remainder Type:设置余数类型。
设置为Remainder时,商和余数被认为是分开的,因此在连接成m_axis_dout_tdata信号之前是面向字节的。
设置为Fractional时,小数部分被认为是商的扩展,因此这两个字段在填充到下一个字节边界之前被连接起来。
在这里插入图片描述
如果勾选Detect Divide_By_Zero,则会多出一个m_axis_dout_tuser端口,以便在执行除0操作时发出信号。
在这里插入图片描述

注意:
商(Quotient)的位宽等于被除数(Dividend)的位宽。
整数余数(Remainder)的位宽度等于除数(Divisor )的宽度。
对于Fractional类型输出,余数位宽与被除数和被除数无关。
使用AXI4接口的输入输出端口在对应的数据字段都会拓展对齐到字节边界。
在这里插入图片描述

Options选项卡

Clocks Per Division: 确定Radix-2解决方案的吞吐量(输入(或输出)之间的时钟间隔)。此参数的值越低,吞吐量越高,但资源使用量也越大。

#AXI4-Stream Options
Flow Control: Blocking or NonBlocking。
具体区别参考Blocking阻塞模式与NonBlocking非阻塞模式

#Latency Configuration:
**Latency Configuration:**支持手动或自动配置延迟。
**Latency:**手动指定从输入到输出的延迟。
在不同算法类型下,不同配置有不同的延迟,具体可在PG151手册中查看。

仿真测试

module div_sim;
reg aclk;
reg s_axis_divisor_tvalid;
reg s_axis_dividend_tvalid;
reg [15:0] s_axis_divisor_tdata;
reg [15:0] s_axis_dividend_tdata;
wire m_axis_dout_tvalid;
wire [31:0] m_axis_dout_tdata;
wire [15:0] quotient;
wire [15:0] remainder;assign quotient = m_axis_dout_tdata[31:16];
assign remainder = m_axis_dout_tdata[15:0];initial beginaclk = 1'b1;forever #10 aclk = ~aclk;
endinitial begins_axis_dividend_tdata = 16'd0;s_axis_dividend_tvalid = 1'b0;s_axis_divisor_tvalid = 16'd0;s_axis_divisor_tdata = 1'b0;# 40;s_axis_dividend_tdata = 16'd12345;s_axis_dividend_tvalid = 1'b1;s_axis_divisor_tdata = 16'd100;s_axis_divisor_tvalid = 1'b1;# 60;s_axis_dividend_tdata = -16'd12345;s_axis_dividend_tvalid = 1'b1;s_axis_divisor_tdata = 16'd100;s_axis_divisor_tvalid = 1'b1;# 60;s_axis_dividend_tdata = 16'd12345;s_axis_dividend_tvalid = 1'b1;s_axis_divisor_tdata = -16'd100;s_axis_divisor_tvalid = 1'b1;# 60;s_axis_dividend_tdata = -16'd12345;s_axis_dividend_tvalid = 1'b1;s_axis_divisor_tdata = -16'd100;s_axis_divisor_tvalid = 1'b1;
enddiv_gen_0 inst0 (.aclk(aclk),                                      // input wire aclk.s_axis_divisor_tvalid(s_axis_divisor_tvalid),    // input wire s_axis_divisor_tvalid.s_axis_divisor_tdata(s_axis_divisor_tdata),      // input wire [15 : 0] s_axis_divisor_tdata.s_axis_dividend_tvalid(s_axis_dividend_tvalid),  // input wire s_axis_dividend_tvalid.s_axis_dividend_tdata(s_axis_dividend_tdata),    // input wire [15 : 0] s_axis_dividend_tdata.m_axis_dout_tvalid(m_axis_dout_tvalid),          // output wire m_axis_dout_tvalid.m_axis_dout_tdata(m_axis_dout_tdata)            // output wire [31 : 0] m_axis_dout_tdata
);endmodule

设置算法类型为Radix-2,设置除数和被除数为16位有符号数,输出设置为Remainder类型,延迟手动设置为10。
则输出dout[31:16]为商(Quotient),dout[15:0]为余数(Remainder)。
在这里插入图片描述
仿真图结果显示,输入tvalid到输出dout_tvalid的时间差为200ns,即延迟为10。
12345 ÷ 100 = 123 ⋯ 45 − 12345 ÷ 100 = ( − 123 ) ⋯ ( − 45 ) 12345 ÷ ( − 100 ) = ( − 123 ) ⋯ 45 − 12345 ÷ ( − 100 ) = 123 ⋯ ( − 45 ) \begin{matrix} 12345 \div 100 = 123 \cdots 45 \\-12345 \div 100 = (-123) \cdots (-45) \\12345 \div (-100) = (-123) \cdots 45 \\-12345 \div (-100) = 123 \cdots (-45) \end{matrix} 12345÷100=1234512345÷100=(123)(45)12345÷(100)=(123)4512345÷(100)=123(45)

输出设置为Fractional类型,设置为Fractional Width为16时,仿真结果和前一次相同。
为了体现两种输出模式的区别,设置为Fractional Width为12。
在这里插入图片描述
在这里插入图片描述
设置fractional的数据格式为实数,11位小数。
在这里插入图片描述
在这里插入图片描述
小数余数结果为0.4497、-0.4497、0.4497。


http://www.ppmy.cn/news/280864.html

相关文章

支持xp的最高配置? 20210518

装了一台xp电脑 cpu : i7-4790k 主板:华硕B85M-G 内存:据说可以到64g,我现在用的8g可以识别 硬盘:固态 系统:xp-sp3加大内存补丁 显卡据说是960,但小机箱装不下,而且贵。。 使用体…

地震勘探基础(八)之地震动校正

地震动校正 在地震资料数字处理过程中,速度分析,动校正和水平叠加三个处理内容是相互关联的。水平叠加是为了提高地震资料的信噪比,要想得到好的叠加效果,必须做好动校正。而做好动校正,需要进行准确的速度分析。只有…

F5高可用HA配置详情

双机配置(Active/Standby配置 ) 两台F5设备互为主备,设置通信端口 1.时间同步 登录F5设备shell命令行使用date命令设置时间: date 101415002022 #月日时分年 2022年10月14日15:00利用shell连接工具把命令同时在多台…

window 7 计算机配置,Windows7操作系统要求电脑配置

随着时代的快速发展,电脑系统不断升级不断覆盖。因为最近微软宣布WindowsXP系统即将停止服务,取而代之的是Windows7操作系统,所以越来越多的人开始升级Windows7操作系统。可是,在安装的前提下,你们是否有考虑到自己电脑…

C300 之SFU设备配置指导

认证方式支持: GPON SFU支持SN、PW、LOID、LOIDLOID PW四种认证注册方式; EPON SFU支持MAC、SN、LOID三种认证注册方式; 我们一般使用的 OLT EPON板默认是MAC认证方式,如果想用其他方式注册,需要修改OLT的软件认证模式…

VOS3000最高支持多少并发,需要配置什么样的服务器

VOS3000™ 是针对中小等规模 VoIP 运营业务提供的支撑系统,除满足运营费率设定、套餐管理,账户管理、业终端管理、网关管理、数据查询、卡类管理、号码管理、系统管理等基本功能以外,系统还融合了 IVR 回拨直拨业务包,高性能媒体转…

windows7是计算机硬件吗,Windows7硬件最低配置要求介绍

微软宣布2014年4月8日即将停止对xp的技术支持,于是出于电脑安全的考虑,很多xp系统的用户选择了将操作系统升级到win7。win7作为新一代的操作系统,和xp系统相比有了很多的不同,出了安全性能更加强大,功能更加丰富以外&a…

台式计算机配置清单8000,8000元能配置怎样的台式机

8000元怎么组装一台工作游戏两不误的电脑呢?其实8000足够配置一台优秀的台式机了!下面学习啦小编为你推荐一款很不错的台式机,希望对大家有所帮助! 8000元台式机配置清单 CPU intel 酷睿i7 7700K ¥2150 散热器 九州风神玄冰400 ¥99 主板 华硕PRIME Z270-P 大板 ¥1099 内存…