verilogHDL仿真详解

news/2025/1/11 6:51:24/

前言

Verilog HDL中提供了丰富的系统任务和系统函数,用于对仿真环境、文件操作、时间控制等进行操作。(后续会进行补充)

正文

一、verilogHDL仿真详解

`timescale 1ns/1ps      
//时间单位为1ns,精度为1ps,
//编译指令将时间单位与实际时间相关联。
//该指令用于定义时延、仿真的单位和精度module tb_test_all_tb();			//仿真模块名(不可至工程顶层)	wire data_in;						//仿真模块输入reg  data_out;					//仿真模块输出tb_test_all tb_test_all_inst(		//被测试模块名 #(.被测试模块全局变量(目标值)) 被测试模块名例化名.bin(	data_in  ),					//被测试模块输入.bcd(	data_out )					//被测试模块输出
);		reg 	data_1;							//测试中间变量(这里用于接收数据)integer data_m;						integer i = 0;initial begin							//执行一次(仿真初始化赋值)data_m = $fopen("data.txt");	//创建并打开文本文件(绝对路径或相对路径)#20000								//延时20000 * 1nsdata_1 = {$random}%256;			//随机产生0~256之间的数字,没有{}时产生-256~256之间的数字$fclose(data_m);					//关闭文件。forever #5 clk = ~clk; 			//每5个时间单位翻转一次时钟信号,生成时钟信号$stop;								//暂停仿真$finish;								//仿真结束endalways@(*) begin							//多次执行(循环结构等)$display("this is verilog");		//打印输出字符$display("%t,%b",$time,data_in);	//打印输出data_in已%b的形式,并且输出打印时间$monitor("%t,%b",$time,data_in);	//持续监控指定变量的值,并在变量值发生变化时显示$fwrite(data_m, "%c", data_in);	//向文件写入数据,将data_in已%c的格式写入到data.txt$fdisplay(data_m, "%c", data_in);//向文件写入数据,将data_in已%c的格式写入到data.txt$readmemb("data.txt",data_1);		//从文件读入数据读入到data_1,readmemb二进制方式,readmemh十六进制$fscanf(data_m, "%h", data_in);	// 使用fscanf读取一个1位无符号整数从文件data.txt读入到data_1endendmodule

参考资料

Verilog中的系统函数和系统任务


http://www.ppmy.cn/news/1562178.html

相关文章

C#里使用libxl里演示输出日期和读取日期数据的例子

日期在EXCEL里也是一种复杂的数据处理, 为什么这样说呢? 因为日期显示,在世界各国里互不相同。 在许多西方国家,日期的表示顺序遵循“日-月-年”的规则,即“Day-Month-Year”,例如:12th January 2023。这种顺序在英语国家中普遍存在,如美国、英国、澳大利亚和加拿大…

探秘block原理

01 概述 在iOS开发中,block大家用的都很熟悉了,是iOS开发中闭包的一种实现方式,可以对一段代码逻辑进行封装,使其可以像数据一样被传递、存储、调用,并且可以保存相关的上下文状态。 很多block原理性的文章都比较老&am…

Ruby语言的并发编程

Ruby语言的并发编程 在现代软件开发中,随着多核处理器的普及和应用需求的多样化,并发编程逐渐成为开发者不可或缺的一部分。Ruby语言作为一种高层次的编程语言,在简洁性和可读性方面有其独特的优势,但在并发编程方面却常常被认为…

配置管理工具和k8s功能重叠部分的优势比较

通过自动化配置管理工具(如 Ansible、Puppet、Chef)和应用内管理机制,也可以实现自动部署、扩缩容、负载均衡和故障恢复等功能。Kubernetes(K8s)在这些方面具有哪些独特的优势呢,尤其是在云原生环境和大规模…

超完整Docker学习记录,Docker常用命令详解

前言 关于国内拉取不到docker镜像的问题,可以利用Github Action将需要的镜像转存到阿里云私有仓库,然后再通过阿里云私有仓库去拉取就可以了。 参考项目地址:使用Github Action将国外的Docker镜像转存到阿里云私有仓库 一、Docker简介 Do…

玩转多线程--入门

目录 什么是多线程? 概念: 优点: 线程和进程区别:(面试常考题) Java线程和操作系统线程的关系: 多线程创建 方法1继承Thread类 方法2实现Runnable接口 star()和run()的区别&#xff1a…

常用字符串处理函数

常用字符串处理函数 strcspn函数原型参数说明返回值使用示例注意事项 strpbrk函数原型参数说明返回值使用示例 strcasecmp函数原型参数说明返回值使用示例注意事项 strcspn strcspn 是一个 C 和 C 标准库函数,用于计算一个字符串中不包含任何指定字符的最长前缀的长…

rk3568 , buildroot , qt ,使用sqlite, 动态库, 静态库

问题说明: 客户反馈 ,buildroot 系统 ,使用qt 使用sqlite ,有报错,无法使用sqlite. 测试情况说明: 我自己测试,发现, buildroot 自己默认就是 使能了 sqlite 的。 是否解决说明&…