时序逻辑-延一拍/打一拍?

news/2024/10/12 13:45:10/

一、时序逻辑中的同步复位和异步复位

同步复位的D触发器

        同步复位的D触发器中的“同步”是和工作时钟同步的意思,也就是说,当时钟的上升沿(也可以是下降沿,一般习惯上为上升沿触发)来到时检测到按键的复位操作才有效,否则无效。如图 15‑5所示最右边的三根红色的竖线表达的就是这种效果,sys_rst_n被拉低后led_out没有立刻变为0,而是当syc_clk的上升沿到来的时候led_out才复位成功,在复位释放的时候也是相同原因。

异步复位的D触发器 

        异步复位的D触发器中的“异步”是和工作时钟不同步的意思,也就是说,寄存器的复位不关心时钟的上升沿来不来,只要有检测到按键被按下,就立刻执行复位操作。如图 15‑6所示最右边的两根红色的竖线表达了这种效果,sys_rst_n被拉低后led_out立刻变为0,而不是等待syc_clk的上升沿到来的时候l ed_out才复位,而在复位释放的时候led_out不会立刻变为key_in的值,因为还要等待时钟上升沿到来到时才能检测到key_in的值,此时才将key_in的值赋值给led_out。

时序逻辑不管是同步复位还是异步复位相比与组合逻辑 他们都可以屏蔽毛刺作用。因为时序电路只有在沿到来时才检测信号是否有效,所以在两个上升沿之间的毛刺都会被自然的过滤掉,可以大大减少毛刺现象产生的干扰,提高了电路中数据的可靠性。

二、时序逻辑中延一拍/打一拍的效果

        上面两个图最左边的一组红色竖线所表达的就是这个现象。key_in在复位后的第一个时钟的上升沿来到时拉高,我们可以发现此时led_out并没有在同一时刻也跟着拉高,而在之前的组合逻辑中输出是在输入变化的同一时刻立刻变化的。

        原因:当表达时序逻辑时如果时钟和数据是对齐的,则默认当前时钟沿采集到的数据为在该时钟上升沿前一时刻的值;当表达组合逻辑时如果时钟和数据是对齐的,则默认当前时钟沿采集到的数据为在该时钟上升沿同一时刻的值

module flip_flop
(
input wire sys_clk , 
input wire sys_rst_n, //全局复位,复位信号的主要作用是在系统出现
input wire key_in ,
output reg led_out //输出控制led灯);
always@(posedge sys_clk) //当always块中的敏感列表为检测到sys_clk上升沿时
if(sys_rst_n == 1'b0) //sys_rst_n为低电平时复位,但是这个复位有个大前//提,那就是当sys_clk的上升沿到来时,如果检测到sys_rst_n为低电平则复位有效led_out <= 1'b0; //复位的时候一定要给寄存器变量赋一个初值,一般情//况下赋值为0(特殊情况除外),在描述时序电路时//赋值符号一定要使用“<=”elseled_out <= key_in;endmodule

同步复位代码

module flip_flop
(
input wire sys_clk , //系统时钟50Mh
input wire sys_rst_n , //全局复位
input wire key_in , //输入按键output reg led_out //输出控制led灯
);//led_out:led灯输出的结果为key_in按键的输入值//当always块中的敏感列表为检测到sys_clk上升沿或sys_rst_n下降沿时执行下面的语句always@(posedge sys_clk or negedge sys_rst_n)if(sys_rst_n == 1'b0)//sys_rst_n为低电平时复位,且是检测到sys_rst_n的下//降沿时立刻复位,不需等待sys_clk的上升沿来到后再复位led_out <= 1'b0;elseled_out <= key_in;endmodule

异步复位代码

波形分析: 

        首先复位为高电平的那一刻是和时钟的上升沿对齐的,根据上面的原则,其实此处(1)的上升沿采集到的复位信号为该上升沿前一时刻key_in的值,也就是低电平,所以寄存器处于复位状态,使led_out依然保持为低电平,而在(2)下一个时钟的上升沿复位信号已经为高电平,复位被释放,且key_in为高电平,但我们要取的值是时钟上升沿前一时刻得值,所以此时led_out为低电平,下一刻(3)key_in的前一刻为高电平,所以此时led_out为高电平。我们这种分析最后的现象完全契合了延一拍的效果(直观上看到的波形是对齐的,其实我们要取的值是时钟上升沿前一时刻得值)

总结:always块实现时序逻辑时无论是单比特信号还是多比特信号都具有这种延一拍的效果。我们在后面设计时要养成一些“条件反射”,即做到根据波形写代码的时候看到波形中有延一拍的现象时就要想到用always块的时序逻辑来实现;看到always块表达时序逻辑时就要想要波形中会延一拍的效果,我们经常会听到有人说把 数据“打一拍”其实就是这个意思。


http://www.ppmy.cn/news/1537899.html

相关文章

【NoSQL】portswigger NoSQL注入 labs 全解

目录 NoSQL NoSQL 数据库模型 NoSQL 注入的类型 NoSQL 语法注入 检测 MongoDB 中的语法注入 lab1:检测 NoSQL 注入 NoSQL 运算符注入 提交查询运算符 检测 MongoDB 中的运算符注入 lab2:利用 NoSQL 运算符注入绕过身份验证 利用语法注入来提取数据 MongoDB 中的数据…

深入理解 Django 自定义用户模型

1. 引言 Django 作为一个强大的 Web 框架&#xff0c;内置了用户认证系统。然而&#xff0c;实际项目中我们通常需要扩展用户模型&#xff0c;以满足不同的业务需求。Django 提供了继承 AbstractUser 的方式&#xff0c;让我们能够轻松地定制用户模型。本文将通过一个自定义用…

R中线性回归及其权重和截距的计算

以下是您提供的代码的总结和解析&#xff0c;主要聚焦于线性回归及其权重和截距的计算。 代码解析 数据准备&#xff1a; data women使用内置的 women 数据集&#xff0c;该数据集包含了身高和体重的信息。 绘制散点图&#xff1a; plot(women$height, women$weight,xlab &…

用户在网页上输入一个网址,它整个页面响应的流程是什么?

目录 一、流程的大致过程 二、流程的详细分析 1. 浏览器先分析超链接中的URL 2. DNS解析 3. 建立TCP连接 建立连接&#xff08;三次握手&#xff09; HTTP中的请求报文 4. 浏览器发送HTTP请求 5. 服务器处理请求并发送响应 HTTP的响应报文 6. 浏览器接收响应 7. 渲…

力扣题11~20

题11&#xff08;中等&#xff09;&#xff1a; 思路&#xff1a; 这种题目第一眼就是双循环&#xff0c;但是肯定不行滴&#xff0c;o(n^2)这种肯定超时&#xff0c;很难接受。 所以要另辟蹊径&#xff0c;我们先用俩指针&#xff08;标志位&#xff09;在最左端和最右端&am…

Unity3D游戏的内存控制详解

Unity3D是一款流行的游戏引擎&#xff0c;支持多种平台&#xff0c;包括PC、移动设备和VR等。随着游戏的复杂性不断提高&#xff0c;Unity3D的内存管理变得尤为重要。本文将详细介绍Unity3D游戏中的内存控制技术&#xff0c;包括自动内存管理、对象池、延迟加载资源和手动清理资…

Html批量转word工具2.1

2024年10月7日记录&#xff1a; 有客户反馈&#xff0c;2.0刚运行就提示转换完成 有问题就解决。正好国庆假期这几天有空&#xff0c;2.1版就出炉了。 2.1 更新记录&#xff1a; 修复了1个bug&#xff1a;刚运行就提示转换完成 下载地址&#xff1a;Html 转 word 批量处理工具…

电脑端视频通过PCIE到FPGA端转UDP网络视频输出,基于XDMA+PHY芯片架构,提供3套工程源码和技术支持

目录 1、前言工程概述免责声明 2、相关方案推荐我已有的PCIE方案我这里已有的以太网方案 3、PCIE基础知识扫描4、工程详细设计方案工程设计原理框图电脑端视频PCIE视频采集QT上位机XDMA配置及使用XDMA中断模块FDMA图像缓存UDP视频组包发送UDP协议栈MAC数据缓冲FIFO组Tri Mode E…