FPGA分频电路设计(2)

news/2024/11/18 8:20:01/

实验要求:
采用 4 个开关以二进制形式设定分频系数(0-10),实现对已知信号的分频。

类似实验我之前做过一次,但那次的方法实在是太笨了:
利用VHDL实现一定系数范围内的信号分频电路
需要重做以便将来应对更大的分频系数

先画个图分析下:
在这里插入图片描述
做偶数系数的分频,你只要关注上升沿或下降沿中的其中一种即可,但如果是奇数系数分频,你必须同时关注两种变化。
我的代码:

library ieee ;
use ieee.std_logic_1164.all ;
use ieee.std_logic_arith.all ;
use ieee.std_logic_unsigned.all ;
use ieee.numeric_std.all ;entity Division2 is port (input : in std_logic ;sw : in std_logic_vector(3 downto 0) ;output : buffer std_logic) ;
end Division2 ;architecture divide of Division2 issignal mid : std_logic := '0' ;signal midt : std_logic := '0' ;signal num : integer := 0 ;
beginprocess(input, sw, mid, midt)variable upside : integer := 0 ;	-- how many rising edges ?variable downside : integer := 0 ;	-- how many falling edges ?beginnum <= conv_integer(sw) ;			-- this function can change vector to integerif rising_edge(input) thenupside := upside + 1 ;if (num MOD 2 = 1) thenif ((upside = ((num + 1)/2)) or ((upside - ((num + 1)/2)) MOD num = 0)) thenmid <= NOT mid ;end if ;end if ;elsif falling_edge(input) thendownside := downside + 1 ;if ((num MOD 2 = 0) and (num>0)) thenif (downside MOD (num/2) = 0) thenmidt <= NOT midt ;end if ;elsif (num MOD 2 = 1) thenif (downside MOD num = 0) thenmidt <= NOT midt ;end if ;end if ;end if ;output <= mid xor midt ;end process ;end divide ;

参考资料:
VHDL和Verilog中数组定义、初始化、赋值方法
FPGA之道(27)VHDL的操作符号
VHDL VHDL语言中buffer与inout的区别
(关于VHDL中的buffer,我以后还需要查阅专业资料进一步学习)
quartusⅡ中出现的警告及原因(不断收集中…)
VHDL vector转integer
在VHDL中,可以使用conv_integer函数将std_logic_vector类型转换成整数


http://www.ppmy.cn/news/1281577.html

相关文章

Apache Commons BeanUtils: JavaBean操作的艺术

第1部分&#xff1a;Apache Commons BeanUtils 简介 咱们今天聊聊Apache Commons BeanUtils。这货简直就是处理JavaBean的利器&#xff0c;用起来又方便又快捷。不管是属性拷贝、类型转换&#xff0c;还是动态访问&#xff0c;BeanUtils都能轻松应对。 BeanUtils是啥&#xf…

基于SpringBoot+Vue实现的电影院售票系统

文章目录 项目介绍影院管理影片管理影厅管理订单管理用户管理角色权限管理 技术选型成果展示前台系统后台管理系统 账号及其他说明 项目介绍 基于SpringBootVue实现的电影院售票系统整体设计了用户、管理员两个角色。 用户登录系统可进行电影查看、分类查看、影片搜索、选择影…

Redis基础-Redis概念及常见命令

1.nosql数据库 NoSQL数据库是一种提供了非关系型数据存储的数据库系统&#xff0c;与传统的关系型数据库&#xff08;如SQL数据库&#xff09;不同。NoSQL数据库的特点是灵活性高&#xff0c;能够处理结构化、半结构化或非结构化数据。它们通常用于大数据和实时Web应用。NoSQL数…

白龙地铁消费项目(地铁消费系统,包括用户端、管理端)

大一学的C#可视化项目文件&#xff0c;所有功能均可使用。可以直接下载 下方是演示照片

【Unity资源管理】任何导入资源的配套导入器AssetImporter

AssetImporter 类是什么呢&#xff1f; 当在Unity中导入资源&#xff08;比如图片、模型、音频等&#xff09;时&#xff0c;Unity会根据资源的类型创建相应的AssetImporter&#xff01; 在Unity中&#xff0c;每个导入的资源都会有一个相应的AssetImporter与之对应。当你将资…

【MySQL】脏读、不可重复读、幻读介绍及代码解释

&#x1f34e;个人博客&#xff1a;个人主页 &#x1f3c6;个人专栏&#xff1a; 数 据 库 ⛳️ 功不唐捐&#xff0c;玉汝于成 目录 前言 正文 结语 我的其他博客 前言 数据库事务隔离级别是关系数据库管理系统中一个重要的概念&#xff0c;它涉及到多个事务并发执行…

详细学习Java注解Annotation、元注解(通俗易懂,一学就会)

概述 底层原理 自定义注解 示例代码&#xff1a; 1. 2.只有属性名为value的才可以&#xff0c;java对它进行了标识&#xff0c;如果是其他别名如value1是不行的 3.多个属性&#xff0c;必须用键值对形式&#xff0c;不能少写&#xff0c;也不能多写&#xff0c;除非有default修…

CUMT--Java复习--网络编程

目录 一、Java网络API 1、InetAddress类 2、URL类 3、URLConnection类 4、URLDecoder类和URLEncoder类 二、基于TCP的网络编程 1、Socket类 2、ServerSocket类 三、网络通信过程 一、Java网络API Java中有关网络方面的功能都定义在java.net中。 1、InetAddress类 Jav…