EDA实验设计-led灯管动态显示;VHDL;Quartus编程

embedded/2024/11/21 14:07:14/

EDA实验设计-led灯管动态显示;VHDLQuartus编程

  • 引脚配置
  • 实现代码
  • RTL引脚展示
  • 现象记录
  • 效果展示

引脚配置

#------------------GLOBAL--------------------#
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFFset_location_assignment PIN_28  -to CLOCKset_location_assignment	PIN_50	-to	DIG[0]
set_location_assignment	PIN_53	-to	DIG[1]
set_location_assignment	PIN_54	-to	DIG[2]
set_location_assignment	PIN_55	-to	DIG[3]
set_location_assignment	PIN_176	-to	DIG[4]
set_location_assignment	PIN_47	-to	DIG[5]
set_location_assignment	PIN_48	-to	DIG[6]
set_location_assignment	PIN_49	-to	DIG[7]set_location_assignment	PIN_156	-to	SEG[0]
set_location_assignment	PIN_158	-to	SEG[1]
set_location_assignment	PIN_141	-to	SEG[2]
set_location_assignment	PIN_143	-to	SEG[3]
set_location_assignment	PIN_124	-to	SEG[4]
set_location_assignment	PIN_123	-to	SEG[5]
set_location_assignment	PIN_122	-to	SEG[6]
set_location_assignment	PIN_121	-to	SEG[7]
#------------------END-----------------------#

实现代码

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
--USE WORK.ZXQPALC.ALL;
ENTITY LED_8 IS generic( M: integer  :=48000 ; N: integer  :=8  ) ; PORT(    CLOCK :	IN STD_LOGIC;SEG : OUT STD_LOGIC_VECTOR(7 downto 0);DIG : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );
END LED_8;ARCHITECTURE BHV OF LED_8 ISBEGINPROCESS(CLOCK)VARIABLE CQI_1:integer range 0 to M;VARIABLE CQI_2:integer range 0 to N;BEGINIF CLOCK'EVENT AND CLOCK='1' THENIF CQI_1<(M-1) THEN  CQI_1:=CQI_1+1; ELSE CQI_1:=0;IF CQI_2<(N-1) THEN CQI_2:=CQI_2+1;    ELSE CQI_2:=0;END IF ;END IF ;	CASE CQI_2 ISWHEN 0      =>  SEG<=B"1100_0000"; DIG<=B"0111_1111";WHEN 1      =>  SEG<=B"1111_1001"; DIG<=B"1011_1111";WHEN 2      =>  SEG<=B"1010_0100"; DIG<=B"1101_1111";WHEN 3      =>  SEG<=B"1011_0000"; DIG<=B"1110_1111";WHEN 4      =>  SEG<=B"1001_1001"; DIG<=B"1111_0111";WHEN 5      =>  SEG<=B"1001_0010"; DIG<=B"1111_1011";WHEN 6      =>  SEG<=B"1000_0010"; DIG<=B"1111_1101";WHEN 7      =>  SEG<=B"1111_1000"; DIG<=B"1111_1110";WHEN OTHERS   =>  SEG<=B"1111_1111"; DIG<=B"1111_1111";END CASE;END IF;END PROCESS ;
END BHV;

RTL引脚展示

在这里插入图片描述

现象记录

• 数码管能够从左到右依次显示数字 0 到 7,并且按预期循环显示。
• 仿真与硬件运行结果一致,显示逻辑和计数器的控制符合设计要求。
• 实验目标完成,数码管显示控制系统功能正常。

效果展示

在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述


http://www.ppmy.cn/embedded/139354.html

相关文章

libjpeg库——图像压缩与解压的核心技术

引言 在数字图像处理领域&#xff0c;图像压缩与解压技术扮演着至关重要的角色。随着数字图像的广泛应用&#xff0c;高效地存储和传输图像数据成为了一项关键技术需求。libjpeg库&#xff0c;作为一个开源的图像压缩解压缩库&#xff0c;凭借其丰富的功能和灵活的接口&#x…

数据库基础(MySQL)

1. 数据库基础 1.1 什么是数据库 存储数据用文件就可以了&#xff0c;为什么还要弄个数据库? 文件保存数据有以下几个缺点&#xff1a; 文件的安全性问题文件不利于数据查询和管理文件不利于存储海量数据文件在程序中控制不方便 数据库存储介质&#xff1a; 磁盘内存 为…

2024年11月19日Github流行趋势

项目名称&#xff1a;build-your-own-x 项目维护者&#xff1a;danistefanovic, rohitpaulk, sarupbanskota 等项目介绍&#xff1a;通过从零开始重新创建你最喜欢的技术来掌握编程。项目star数&#xff1a;312,081项目fork数&#xff1a;29,004 项目名称&#xff1a;freqtrad…

tcn 对比 cnn-attension-gru联合模型,时间序列预测,深度神经网络

tcn 对比 cnn-attension-gru联合模型,&#xff0c;我们可以从以下几个方面对比TCN&#xff08;Temporal Convolutional Network&#xff09;和CNN-attention-GRU联合模型&#xff1a; 1. **模型结构和功能**&#xff1a; - TCN是一种基于卷积的网络&#xff0c;擅长处理序列…

[241119] .NET 9.0.0 正式发布 | D2 Emerge 收购 CodeProject,拓展软件开发社区影响力

目录 .NET 9.0.0 正式发布下载地址Docker 镜像Visual Studio 兼容性更新的包 D2 Emerge 收购 CodeProject&#xff0c;拓展软件开发社区影响力 .NET 9.0.0 正式发布 .NET 9.0.0 和 .NET SDK 9.0.100 于近日正式发布&#xff01; 本次发布包含安全性和非安全性修复&#xff0c…

防止DDos的技术方案

以基于验证码URL负载请求形成DDos为案例进行详细阐述。 背景 随着技术的发展&#xff0c;人们对于系统安全性和用户体验的要求越来越高。传统的图形验证码虽然在一定程度上能够防止恶意攻击&#xff0c;但用户体验相对较差&#xff0c;且存在被破解的风险。因此&#xff0c;大…

# 07_ Python基础到实战一飞冲天(二)-python基础(七)--变量类型计算与输入输出

07_ Python基础到实战一飞冲天&#xff08;二&#xff09;-python基础&#xff08;七&#xff09;–变量类型计算与输入输出 一、变量的类型-04-Python中的变量类型 1、python 变量的类型 在 Python 中定义变量是 不需要指定类型&#xff08;在其他很多高级语言中都需要&…

(Linux)搭建静态网站——基于http/https协议的静态网站

简单了解nginx配置文件 1.下载并开启nginx服务 下载 [rootlocalhost ~]# dnf install nginx -y开启 [rootlocalhost ~]# systemctl restart nginx 1.(1)搭建静态网站——基于http协议的静态网站 实验1&#xff1a;搭建一个web服务器&#xff0c;访问该服务器时显示“hello w…