IPEmotion 2024 R2现支持Amazon S3和Windows SMB服务器

embedded/2024/10/18 6:10:48/

1dd3bf2e-96fc-4f04-9ebf-6b579bb03950

新版IPEmotion 2024 R2软件推出了许多新功能,其中的一大功能是支持Amazon S3Windows SMB服务器以及新的IPE-CAM-007 USB摄像头。IPEmotion 2024 R2还支持直接写入TEDS数据和配置可装载电池的新款IPE833记录仪

— 创新成果一览 —

■ 支持Amazon S3、Windows SMB服务器:

• 通信设置中新增数据传输类型Windows SMB和Amazon S3服务器;

• 所有新的和原有的数据传输选项均可同时使用。

■ 支持新的USB摄像头IPE-CAM-007:

• USB连接;

• 记录仪的一个USB接口支持多个USB摄像头;

• 像素高达1920x1080,每秒15帧;

• 支持所有固件为IPEmotion RT 2024 R2或更高版本的记录仪。

■ 支持配置可装载电池的新款IPE833记录仪:

• 易于升级:可随时为记录仪安装电池;

• 只需打开塑料盖,即可根据应用情况安装或拆卸电池,且记录仪的防护等级不会发生变化,仍为IP67;

• 在“CAPS”模式下,电池可确保记录仪在电源电压下降时安全关闭;

• 在“Measuring”模式下,电池可确保记录仪完全自主运行,用户可在配置中自定义运行时间。

a6453b9b-6f3e-4247-b9a3-c03722d323d9

■ 支持写入TEDS数据:

• 可直接从IPEmotion写入传感器配置;

• 无需额外软件或单独的硬件;

• 需要X-Plugin 02.21;

• 在缩放计算器的“TEDS”模式下,可保存数据或将数据存储到TEDS芯片中。

IPEmotion 2024 R2可点击此处下载。

请点击此处,即可了解更多产品信息!

 

| 往期回顾

▶ IPEmotion 2023 R2新增视图功能

▶ 适用于移动测量领域的数据记录仪-IPE833


http://www.ppmy.cn/embedded/119173.html

相关文章

讯飞星火编排创建智能体学习(二)决策节点

目录 概述 决策节点 文生图节点 连接节点 测试结果 概述 在上一篇博文讯飞星火编排创建智能体学习(一)最简单的智能体构建-CSDN博客,我介绍了编排创作智能体,这篇来介绍一下“决策节点”。 决策节点 在编排创作智能体中&…

触发器对象

触发器是什么? 触发器(Triggers)是数据库中的一种特殊对象,它们会在某些数据库事件发生时自动执行。触发器的主要作用是对表的操作(如INSERT、UPDATE、DELETE)做出响应,并执行特定的操作。 触…

计算机网络第四章——网络层

网络层的主要任务就是把分组从源端传到目的端,为分组交换网上的不同主机提供通信服务。网络层的传输单位是数据报。 网络层的主要功能: 功能一:路由选择与分组转换 功能二:异构网络互联 功能三:拥塞控制 一.SDN 路由…

LeetCode146 LRU缓存

请你设计并实现一个满足 LRU (最近最少使用) 缓存 约束的数据结构。 实现 LRUCache 类: LRUCache(int capacity) 以 正整数 作为容量 capacity 初始化 LRU 缓存int get(int key) 如果关键字 key 存在于缓存中,则返回关键字的值,否则返回 -1 …

【C++报错已解决】std::ios_base::floatfield

🎬 鸽芷咕:个人主页 🔥 个人专栏: 《C干货基地》《粉丝福利》 ⛺️生活的理想,就是为了理想的生活! 专栏介绍 在软件开发和日常使用中,BUG是不可避免的。本专栏致力于为广大开发者和技术爱好者提供一个关于BUG解决的经…

用PYTHON合并多个PDF文件

from PyPDF2 import PdfFileReader,PdfFileWriter import os # 合并PDF def Merge_pdf(src_dir,pdf_list,out): if src_dir and os.path.isdir(src_dir): if pdf_list: merge PdfFileWriter() for pdf in pdf_list: pdf…

pytest

pytest测试框架 单元测试框架定义:针对软件开发最小的单元(函数,方法)进行正确性位置测试 单元测试框架:java(junit,testing)python(unittest,pytest&#…

【Verilog学习日常】—牛客网刷题—Verilog企业真题—VL67

十六进制计数器 描述 请用Verilog设计十六进制递增计数器电路,每个时钟周期递增1。 电路的接口如下图所示。Q[3:0]中,Q[3]是高位。 接口电路图如下: 输入描述: input clk , input rst_n ,…