Vivado FIR IP 详解 (一)

devtools/2024/9/23 13:14:15/

FIR滤波器是数字信号处理中常用的滤波器,除了通过Verilog代码自己实现外,Vivado提供了一个FIR滤波器 IP,可以直接调用。

一、什么是 Vivado FIR IP 核

FIR(Finite Impulse Response)滤波器即有限长单位冲激响应滤波器,是数字信号处理中非常重要的一种滤波器类型。

它具有线性相位、稳定性高等优点,在通信、音频处理、图像处理等众多领域都有着广泛的应用。

通过使用这个 IP 核,我们可以避免从头开始编写复杂的 FIR 滤波器代码,大大提高开发效率,同时也能保证滤波器的性能和可靠性。

二、FIR IP核的调用与配置

Vivado创建工程后,在IP Catalog中打开FIR Compiler配置界面。

5591657a94854138999b4c2097205b58.jpg​​​​

 1、Filter Options页面

这是滤波器选项配置,配置滤波器参数,左侧的“Freq Response”可查看对应的频率响应图。

​​​​ec8958df5cff4d2e89ea33c3fb698e9a.png 

(1)Filter Coefficients

Vivado FIR IP核没有设计滤波器和生成滤波器系数的功能,所以需要使用matlab等工具设计滤波器,并计算出滤波系数导入到IP中。

Select Source:滤波器系数来源设置,可选“COE Vector”或“COE File”。

选择“COE Vector”时,直接在“Coefficients Vector”中,输入滤波器系数向量。

选择“COE File”时,在“Coefficients File”中点击【文件夹】按钮,找到需要的coe文件,也可以点击【修改文件】按钮。

Number of Coefficients set:滤波通道数设置

Number of Coefficients(per set):自动识别出每一个通道滤波器系数的个数。

Use Reloadable Coefficients:使用可重载系数

(2)Filter Specifcation

Filter Type:滤波器结构设置,可选:

“Single Rate”(单速率,即数据输出与输入速率相同)。

其它多速率模式,Decimation(抽取)和 Interpolation(插值)、Hilbert(希尔伯特变换)模式等应用于多速率信号处理系统。

其它选项:不可设置

2、Channel specification页面

这是配置通道参数页面,设置滤波器运行时钟频率和采样频率。

9716bd24d7dc4247bae847ce0b8c2da3.png​​​​

 Channel Sequence:通道顺序选择,可选basic。

Number of Channels:数据通道数量。

Select Format:选择格式,选择用于指定硬件过采样率、内核可用于处理输入样本并生成输出的时钟周期数的格式。

Sample Period:输入或输出样本之间的时钟周期数。

Input Sampling Frequence:输入数据采样时钟速率,也就是采样频率。

Clock Frequence:滤波器运行时钟频率

注意:

如果需要处理多路数据合并后的串行数据时,可设置多个通道,每个通道间都以tlast信号隔开,表示一个通道信号数据结束。

3、Implementation页面

根据滤波器设计,例如设置FIR滤波器系数为12bit有符号整数,输入数据设置为12bit有符号整数,其他保持默认设置。

​​​​25ee277e5c384e6880b482300ea72a7d.png

 (1)Coeffcient Options

Coeffcient Type:系数类型,有符号数、无符号数

Quantization:量化方式,

Coeffcient Width:系数位宽

Coeffcient Fractional Bits:小数位数

Coeffcient Structure:近似方式

(2)Data Path Option

Input Data Type:输入数据类型

Input Data Width:输入数据位宽

Input Data Fractional Bits:输入数据小数位数

Output Rounding Mode:近似方式

Output Width:输出位宽

4、Detailed Implementation页面

这里是配置IP核布线优化策略,以及关键逻辑和功能的资源消耗类型设定,一般默认即可。

58d9d8fb579f48ed89a9c733f4a0863e.jpg​​​​

 5、Interface页面

这是接口配置页面,可配置输入输出数据接口以及控制接口。

​​​65a7d22b4e664023b292428e1970afb0.png

 (1)Data Channel Options

TLAST信号配置:

不需要:不添加该端口。

矢量成帧:表示每个数据信道最后一个数据。

包成帧:同步输出数据通道tlast。

TUSER信号配置:

表示数据通道的起始信号,设置输入输出接口是否添加该信号。

ARESETn:添加复位信号。

ACLKen:添加使能时钟信号。

三、FIR IP核的主要接口

1a803ed34d9845dd8f0790a54704f4cb.jpg

aresetn:复位信号,低电平有效;

aclk:时钟信号;

s_axis_data_tdata:输入采样数据;

s_axis_data_tready:输出信号,1 表示IP核已准备好接收采样数据;

s_axis_data_tvalid:表示当前输入的采样数据是否有效;

m_axis_data_tdata:表示滤波后的输出数据;

m_axis_data_tvalid:表示当前输出数据是否有效。

更多功能和配置,请阅读官方手册:

https://pan.quark.cn/s/4ff6fef62ca1

640?wx_fmt=jpeg​​​​

如果需要更多学习资料和源码,想要学习FPGA实战入门进阶,请阅读下面这篇文章:
 

FPGA实战入门真的难吗?看这里,少走弯路,少踩坑。


http://www.ppmy.cn/devtools/116009.html

相关文章

【项目案例】物联网比较好的10+练手项目推荐,附项目文档/源码/视频

练手项目推荐 1 智能小车 项目功能介绍: 本项目由三部分组成:应用端(微信小程序)、设备端(Hi3861)、驱动端(UPS)。 1. 应用端,采用微信小程序作为应用端控制界面。在开…

蓝桥杯1.小蓝的漆房

样例输入 2 5 2 1 1 2 2 1 6 2 1 2 2 3 3 3样例输出 1 2 import math import os import sys tint(input())#执行的次数 for j in range(t):n,kmap(int,input().split())#n为房间数 k为一次能涂的个数alist(map(int,input().split()))#以列表的形式存放房间的颜色maxvaluemath…

《重生之我在java世界做任务升级》--第一章

ps:此乃我学习《Head First Java》之后的一本心得体会,现其分享给各位行走在Java道路上的道友 第一章:进入java的世界 尊敬的java玩家,欢迎来到JavaWorld,我将根据您的外貌特征为您创建游戏角色。 一眨眼,我仿佛进入了…

【LeetCode】2332. 坐上公交的最晚时间

LeetCode 2332. 坐上公交的最晚时间 题目描述 详细的题目描述可见LeetCode对应的原题目。 简单来说,给定 A A A数组[10, 20]和 B B B数组[2, 17, 18, 19],数组A表示公交车的到达时间,B表示乘客到达车站的时间,还给定一个公交车…

Vue组件中的mixins

在 Vue.js 中,mixins 是一种分发可复用组件逻辑的灵活方式。一个 mixin 对象可以包含任何组件选项,如 data、methods、computed、watch 等。当一个组件使用了 mixin 时,mixin 中的所有选项都会被“混合”到该组件中,从而使得这些选…

C++离线查询

前言 C算法与数据结构 打开打包代码的方法兼述单元测试 概念及原理 离线算法( offline algorithms),离线计算就是在计算开始前已知所有输入数据,输入数据不会产生变化,且在解决一个问题后就要立即得出结果的前提下进行的计算。 通俗的说&a…

C/C++逆向:switch语句逆向分析

在逆向分析中,switch语句会被编译器转化为不同的底层实现方式,这取决于编译器优化和具体的场景。常见的实现方式包括以下几种: ①顺序判断(if-else链): 编译器将switch语句转化为一系列的if-else语句。这…

git安装包夸克网盘下载

git安装包夸克网盘下载 git夸克网盘 git网站上的安装包下载速度有点慢,因此为了方便以后下载就将文件保存到夸克网盘上,链接:我用夸克网盘分享了「git」,点击链接即可保存。 链接:https://pan.quark.cn/s/07c73c4a30…